新人请教verilog 4位二进制乘法器问题

aa335418265 2012-04-28 09:13:05
module mul(ina,inb,out);
output[7:0] out;
input[3:0] ina,inb;
reg[7:0] out,temp,ci;
integer i,j;
always @(ina,inb)
begin
out=8'h00;
for(i=0;i<4;i=i+1)
begin
if(inb&(1<<i))
begin
temp=ina<<i;
end
else
begin
temp=8'h00;
end
begin
for(j=0;j<8;j=j+1)
begin
if(j==0)
begin
ci[j]=out[j]&temp[j];
out[j]=out[j]^temp[j];
end
else
begin
ci[j]=(out[j]&temp[j])|(out[j]&ci[j-1])|(temp[j]&ci[j-1]);
out[j]=out[j]^temp[j]^ci[j-1];
end
end
end
end
end
endmodule

请教上面这个4位乘法器是如何实现的,小弟刚接触verilog,看不太懂。。。
...全文
917 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
ningningxiaofengzi 2012-05-09
  • 打赏
  • 举报
回复
差不多每移位一次,计算一次,是个循环结构。。。
net_friends 2012-05-02
  • 打赏
  • 举报
回复
乘法器 有好多种 比如超前进位 串行阵列 等等 不同算法电路面积 运算速度不同
在实际电路中可根据需求选择相应算法
你可找相关资料看一下

6,126

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧