verilog 编写矩阵乘法器 怎么把数据依次送入模块中

student_04 2017-10-23 08:25:25
[size=16px] verilog 编写矩阵乘法器 ,请问怎么把数据依次送入模块中存储?之后相乘累加,怎么再依次输出?
求大神指点,感谢。
...全文
1286 7 打赏 收藏 转发到动态 举报
写回复
用AI写文章
7 条回复
切换为时间正序
请发表友善的回复…
发表回复
qq_22231931 2018-03-11
  • 打赏
  • 举报
回复
你这个是乘法累加,关键是看你速度需要多快? 如果是速度很慢的话,可以直接用*,由综合工具帮你实现(比如FPGA或者ASIC)。
qianliang17 2018-03-10
  • 打赏
  • 举报
回复
乘法最好用ip 乘法器,自己写的综合可能有问题
挂机的阿凯28 2018-03-07
  • 打赏
  • 举报
回复
楼主是不是想要这种的,输一个进来存一个,然后左移 reg [47:0]rx; always @(posedge clk) begin rx[0]<=data_in; rx[47:1]<=rx[46:0]; end
挂机的阿凯28 2018-03-07
  • 打赏
  • 举报
回复
楼主是不是想要这种的,输一个进来存一个,然后左移 reg [47:0]rx; always @(posedge clk) begin rx[0]<=data_in; rx[47:1]<=rx[46:0]; end
of123 2017-10-25
  • 打赏
  • 举报
回复
设计一些接口数据寄存器和控制状态寄存器,为它们分配地址。再设计访问接口,可以通过它读写这些寄存器。
learningin 2017-10-25
  • 打赏
  • 举报
回复
数据量不大的话,也可以通过时序控制,直接赋值,乘~累加,就可以出来结果,运算时间快

6,127

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧