关于quartus prime 17.1

LighTInGFighT 2017-12-06 05:30:55
想问一下quartus prime 17.1 standard版本里如何进行时序仿真
用的EDA工具是 modelsim-altera
时序仿真的时候总是会提示错误没有生成sdo文件(我用的是VHDL,verilog里对应的好像是叫SDF文件)
比如下图

里面的twentyone是工程名
应该怎么解决这个问题呢
...全文
463 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

27,375

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 单片机/工控
社区管理员
  • 单片机/工控社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧