急问vhdl问题!!

dyesucker 2003-08-30 07:00:31
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ttl374 IS
PORT(clock, oebar : IN std_logic;
data : IN std_logic_vector(7 DOWNTO 0);
qout : OUT std_logic_vector(7 DOWNTO 0));
END ENTITY ttl374;
ARCHITECTURE using_1164 OF ttl374 IS
--internal flip-flop outputs
SIGNAL qint : std_logic_vector(7 DOWNTO 0);
BEGIN
qint <= data WHEN rising_edge(clock); --d-type flip flops
qout <= qint WHEN oebar = '0' ELSE "ZZZZZZZZ"; --three-state buffers
END ARCHITECTURE using_1164;

这一段vhdl代码摘自国外的参考资料,在Max+plus II 10.1上编译通不过。
提示:
Unsupported feature error:signal parameter in a subprogram is not supported.问题就在rising_edge(clock)
请问这是什么原因??怎么解决。
...全文
76 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
francohe 2003-09-02
  • 打赏
  • 举报
回复
关注
kuangjingbo 2003-09-01
  • 打赏
  • 举报
回复
子程序的参数不能是信号!可以用一个进程代替!
sun 2003-08-31
  • 打赏
  • 举报
回复
qint <= data; --加个分号
sun 2003-08-31
  • 打赏
  • 举报
回复
将语句 qint <= data WHEN rising_edge(clock);
改为
dff_p: process(clock)
begin
if rising_edge(clock) then
qint <= data
end if;
end process;

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧