verilog语言中input[0:0]是什么数据类型

h2o_zz 2007-11-08 10:55:03
verilog语言中input[0:0]是什么数据类型
有段语言是这样的: input [0:0] Hclk0,Hsynco,Vsynco;


不懂啊.高人指点来着...
...全文
1684 25 打赏 收藏 转发到动态 举报
写回复
用AI写文章
25 条回复
切换为时间正序
请发表友善的回复…
发表回复
echo_wind2010 2010-11-30
  • 打赏
  • 举报
回复
路过,顶下
shadowter 2010-11-30
  • 打赏
  • 举报
回复
围观,大家都对,,,,
  • 打赏
  • 举报
回复
声明变量采用了parameter声明以增加灵活性
在具体应用是只需要1bit,例化该parameter为1bit,再网标中可能会产生[0:0]这种格式。
lgy198612 2010-08-14
  • 打赏
  • 举报
回复
有些定义是为了考虑模块的通用性接口,比如例化fifo时,就可以选择1bit输出,有的选择多bit输出;
但是你不能用单一的信号线来和这种数组信号线连接。
闪闪 2010-08-04
  • 打赏
  • 举报
回复
飘过。
hc888888 2010-08-02
  • 打赏
  • 举报
回复
围观。。。。。
luotty 2010-07-30
  • 打赏
  • 举报
回复
进来学习一下
benjaminweber 2010-07-15
  • 打赏
  • 举报
回复
07 年的问题。。。。
zhengxx1980 2010-07-13
  • 打赏
  • 举报
回复
学习了
zzpnihao 2010-07-12
  • 打赏
  • 举报
回复
1楼和3楼说的很对
aamc2010 2010-06-13
  • 打赏
  • 举报
回复
为什么是wire型呢,是reg还是wire后续应该有定义才对吧
hfCoder 2010-06-13
  • 打赏
  • 举报
回复
不懂,帮顶
steve929 2010-06-13
  • 打赏
  • 举报
回复
学习,围观大神
stanley1980 2010-06-12
  • 打赏
  • 举报
回复
单bit wire型.
hc888888 2010-06-07
  • 打赏
  • 举报
回复
学习一下
a14052058 2010-05-30
  • 打赏
  • 举报
回复
学习中,谢谢楼主和楼上
zjf0000 2010-01-18
  • 打赏
  • 举报
回复
一位wire输入
fq2168 2010-01-18
  • 打赏
  • 举报
回复
学习一下
asak_1 2008-10-24
  • 打赏
  • 举报
回复
楼主看到的代码可能是用软件直接生成的,没有对单位宽度的信号做特别处理。
renniyou 2008-10-04
  • 打赏
  • 举报
回复
有些定义是为了考虑模块的通用性接口,比如例化fifo时,就可以选择1bit输出,有的选择多bit输出
加载更多回复(4)
寄存器实验报告 实验目的 1. 了解寄存器的分类方法,掌握各种寄存器的工作原理; 2. 学习使用Verilog HDL 语言设计两种类型的寄存器。 二、实验设备 PC 微机一台,TD-EDA 实验箱一台,SOPC 开发板一块。 三、实验内容 寄存器二进制数的位可以用两种方式移入或移出寄存器。第一种方法是以串行的方式 将数据每次移动一位,这种方法称之为串行移位(Serial Shifting),线路较少,但耗费时间较多。第二种方法是以并行的方式将数据同时移动, 这种方法称之为并行移位(Parallel Shifting),线路较为复杂,但是数据传送的速度较快。因此,按照数据进出移位寄存器 的方式,可以将移位寄存器分为四种类型:串行输入串行输出移位寄存器(Serial In- Serial Out)、串行输入并行输出移位寄存器(Serial In- Parallel Out)、并行输入串行输出移位寄存器(Parallel In- Serial Out)、并行输入并行输出移位寄存器(Parallel In-Parallel Out)。 本实验使用Verilog HDL 语言设计一个八位并行输入串行输出右移移位寄存器(Parallel In- Serial Out)和一个八位串行输入并行输出寄存器(Serial In- Parallel Out),分别进行仿真、引脚分配并下载到电路板进行功能验证。 实验步骤 1.并行输入串行输出移位寄存器实验步骤 1). 运行Quartus II 软件,选择File New Project Wizard 菜单,工程名称及顶层文件名称为SHIFT8R,器件设置对话框选择Cyclone 系列EP1C6Q240C8 芯片,建立新工程。 2.) 选择File New 菜单,创建Verilog HDL 描述语言设计文件,打开文本编辑器界面。 3.) 在文本编辑器界面编写Verilog HDL 程序,源程序如下: module SHFIT8R(din,r_st,clk,load,dout); input [7:0]din; input clk,r_st,load; output dout; reg dout; reg [7:0]tmp; always @(posedge clk) if(!r_st) begin dout<=0; end else begin if(load) begin tmp=din; end else begin tmp[6:0]=tmp[7:1]; tmp[7]=0; end dout<=tmp[0]; end endmodule 4). 选择File Save As 菜单, 将创建的VHDL 设计文件保存为工程顶层文件名 SHIFT8R.V。 5). 选择Tools Compiler Tool 菜单,编译源文件。编译无误后建立仿真波形文件SHIFT8R.VWF。选择Tools Simulato r Tool 菜单进行仿真。 时序仿真: 功能仿真: 6). 分析仿真结果,仿真正确后选择Assignments Assignment Editor 菜单,对工程进行引脚分配。分配结果如下表所 "引脚名称 "引脚顺序 "引脚顺序 "引脚名称 " "Din[0] "PIN_175 "Din[6] "PIN_181 " "Din[1] "PIN_176 "Din[7] "PIN_182 " "Din[2] "PIN_177 "load "PIN_194 " "Din[3] "PIN_178 "clk "PIN_28 " "Din[4] "PIN_179 "R_st "PIN_3 " "Din[5] "PIN_180 "dout "PIN_2 " 7). 选择Tools Compiler Tool 菜单,点击"Start"按钮对此工程进行编辑,生成可以配置到FPGA 的SOF 文件。 8). 使用TD-EDA 实验系统及SOPC 开发板,如图3-6-1 所示进行实验接线,将ByteBlaster II 下载电缆插入SOPC 开发板的JTAG 下载接口。仔细检查确保接线无误后打开电源。 9). 在Quartus II 软件,选择Tools Programmer 菜单,对芯片进行配置。 10). 配置完成后验证移位寄存器的正确性。 2.串行输入并行输出寄存器实验步骤 1). 运行Quartus II 软件,选择File New Project Wizard 菜单,工程名称及顶层文件名称为SHIFT8,器件设置对话框选择Cyclone 系列EP1C6Q240C8 芯片,建立新工程。 2). 选择File New 菜单,创建Verilog HDL 描述语言设计文件,打开文本编辑器界面。 3). 在文本

6,120

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧