VHDL4X4键盘程序,编译问题,请帮忙

howardneil2007 2007-12-11 04:43:30
今天调程序老师出现这样的错误,
想知道是怎么回事
Error: VHDL error at keyb12_11.vhd(82): can't infer register for signal "tem_press" because signal does not hold its value outside clock edge

我也问了有些人,有的说是保持时间不够,有的说是在时钟上升沿不能确定它的值
糊涂死了,请正解!
-----------------------------------

入门阶段
打算自己写一个简单的4*4矩阵键盘的程序的
可是搞了大半个月
还是没有搞成功,都快崩溃了

有没谁有相关的资料,要VHDL的,共享一下
谢谢
...全文
95 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
meiZiNick 2008-05-01
  • 打赏
  • 举报
回复
支持搂主,收藏
aaronstone 2008-01-23
  • 打赏
  • 举报
回复
木有代码

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧