这里有玩Quartus II的伐? 用VHDL编过计数器的帮忙

alucard_2005 2008-01-15 05:55:48
先声明 偶才接触这东西10来天的样子 不怎么熟练 外加这边的人看上去似乎都是专业的= =
最好能给点指导 或者能给个计数器的实例看下 我一般情况下就能搞懂了

以下这段实验用的东西为啥通不过编译呢?
LIBRARY ieee;
USE ieee.std_logic_1164.all;


-- Entity Declaration

ENTITY test IS
-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!
PORT
(
input : IN STD_LOGIC;
output : OUT STD_LOGIC
);
-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!

END test;


-- Architecture Body

ARCHITECTURE test_architecture OF test IS


BEGIN
output <= input;
END test_architecture;

问题是这样
Info: *******************************************************************
Info: Running Quartus II Analysis & Synthesis
Info: Version 7.2 Build 151 09/26/2007 SJ Web Edition
Info: Processing started: Tue Jan 15 17:30:43 2008
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off test -c test
Warning: Can't analyze file -- file C:/Documents and Settings/Alucard/My Documents/study/test/block_name.vhd is missing
Info: Found 1 design units, including 1 entities, in source file test.bdf
Info: Found entity 1: test
Warning: Can't analyze file -- file C:/Documents and Settings/Alucard/My Documents/study/test/=1.vhd is missing
Error: Can't compile duplicate declarations of entity "test" into library "work"
Error: Found entity "test" in file test.bdf
Error: Found entity "test" in file test.vhd
Info: Found 2 design units, including 1 entities, in source file test.vhd
Info: Found design unit 1: test-test_architecture
Error: Quartus II Analysis & Synthesis was unsuccessful. 3 errors, 2 warnings
Info: Allocated 150 megabytes of memory during processing
Error: Processing ended: Tue Jan 15 17:30:48 2008
Error: Elapsed time: 00:00:05
...全文
968 14 打赏 收藏 转发到动态 举报
写回复
用AI写文章
14 条回复
切换为时间正序
请发表友善的回复…
发表回复
guisense 2010-10-29
  • 打赏
  • 举报
回复
文件名和实体名应该要一致

今天刚做实验!
soloyy 2009-01-04
  • 打赏
  • 举报
回复
[Quote=引用 11 楼 benwang_ 的回复:]
引用 10 楼 skye_ld 的回复:

VHDL要求文件名和实体名要一致
你的文件名称应该保存为test

并且想编译通过的话需要将文件置为顶层文件,也就是将现在你编辑的文件激活为当前文件,要不然系统编译的还是上一次的文件

为什么csdn没有sopc模块呢?
[/Quote]eda也没,有必要设一个
langliqiuqian 2008-12-29
  • 打赏
  • 举报
回复
保存的文件名和实体必须一样才可以
Claude 2008-12-24
  • 打赏
  • 举报
回复
[Quote=引用 10 楼 skye_ld 的回复:]
VHDL要求文件名和实体名要一致
你的文件名称应该保存为test

并且想编译通过的话需要将文件置为顶层文件,也就是将现在你编辑的文件激活为当前文件,要不然系统编译的还是上一次的文件
[/Quote]
为什么csdn没有sopc模块呢?
skye_ld 2008-12-23
  • 打赏
  • 举报
回复
VHDL要求文件名和实体名要一致
你的文件名称应该保存为test

并且想编译通过的话需要将文件置为顶层文件,也就是将现在你编辑的文件激活为当前文件,要不然系统编译的还是上一次的文件
jgj58 2008-12-13
  • 打赏
  • 举报
回复
block_name.vhd is missing

看看文件的名字
catnm 2008-12-13
  • 打赏
  • 举报
回复
ding
skye_ld 2008-12-13
  • 打赏
  • 举报
回复
vhdl保存的文件名需要与实体名一致 也就是说你的保存的文件要叫test
wanderhope 2008-12-12
  • 打赏
  • 举报
回复
应该就是如同楼上说的,文件名和实体名要一致
当然你也可以把这个文件设置为顶层文件!
asd666666 2008-12-11
  • 打赏
  • 举报
回复
恩,文件名和实体名应该要一致。
gareen 2008-12-10
  • 打赏
  • 举报
回复
同问,请知悉者不吝赐教。
meiZiNick 2008-05-01
  • 打赏
  • 举报
回复
不明LZ在说什么
aaronstone 2008-01-23
  • 打赏
  • 举报
回复
test.vhd~~~
色郎中 2008-01-16
  • 打赏
  • 举报
回复
你保存的文件名有问题

6,127

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧