对向量对象初始化有几种方法?

bluyo 2003-01-08 01:09:42
比如用1到50初始化一个vector<int>对象,有几种方法
...全文
48 3 打赏 收藏 转发到动态 举报
写回复
用AI写文章
3 条回复
切换为时间正序
请发表友善的回复…
发表回复
bluyo 2003-01-11
  • 打赏
  • 举报
回复
还有好象只有generate()了。好象我的帖子很不受欢迎啊,来蹭分的都那么少。呵呵
atma 2003-01-08
  • 打赏
  • 举报
回复
因为你初始化的值都不一样,所以好像只有用循环赋值了。我想不出别的方法了。
vector<int> vec_int;
for ( int i = 0; i < 50; ++i )
{
vec_int.push_back( i + 1 );
}
bluyo 2003-01-08
  • 打赏
  • 举报
回复
我也只想到这种方法.没有相应的构造函数吗?

24,855

社区成员

发帖
与我相关
我的任务
社区描述
C/C++ 工具平台和程序库
社区管理员
  • 工具平台和程序库社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧