QUARTUS2下如何建元件库及引用?

lxyscls 2008-03-10 04:56:24
看来用MAX+PLUS2的人不多啊~终于发现MAX+PLUS2就不支持单独的程序包的引用,必须把包文件和工程文件写在一块,感觉很麻烦,所以换成了QUARTUS2,不过从其中文手册上也没看到具体操作方法~
问题是这样的:
建立元件库——根据文件名跟ENTITY名相同的原则,一个文件我做成只包含一个元件。这样的话,我把需要的元件都作成相关的单独VHD文件,然后再写一个程序包文件PACKAGE里面包含这些元件COMPONENT,请问这样就能够把元件的说明和功能都包含进去吗?或者是把所有元件的ENTITY、ARCHITECTURE和PACKAGE都做在一个文件里面?不过貌似对该文件不好命名啊~做成PACKAGE的名字可以吗?——书上讲PACKAGE的时候提到,包头PACKAGE可以定义元件、函数、常量等,包体PACKAGE BODY定义函数程序,但是不定义元件ARCHITECTURE——请问这个元件的具体功能定义按照我上面的方法可以吗?
如果只能简单得定义一下COMPONENT,在做具体设计时还要重新声明整个元件的ENTITY和ARCHITECTURE,我感觉在PACKAGE里面定义元件就完全没什么必要了嘛,多写一次USE.xxxlibrary.all,没有什么意义啊~倒是函数程序之类的做成单独的程序包是不错的~
说到函数程序常量等的程序包文件,是不是定义好包头包体,USE就可以在QUARTUS中使用了?
最后我说的这些是不是做到SETTINGS里面的USER LIBRARIES就可以引用到了?
大侠们请不吝赐教啊~看得人多,木人说~我想大家在做项目的时候肯定也要做相关的设计吧~
...全文
1086 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
GLaDOS 2012-04-21
  • 打赏
  • 举报
回复
分别写好各种component的vhd文件以后,package文件里只写各种component的端口声明就行,然后以后引用package文件就可以了
erwa 2008-04-13
  • 打赏
  • 举报
回复
一个文件做成只包含一个元件;
然后再写一个程序包文件PACKAGE里面包含这些元件COMPONENT;
新建一个VHDL文件,包含这个程序包(use work.****.all的这种格式),如果这个新建的VHDL文件需要用到你的程序包里面已经定义了的元件的话,就不再需要再次定义了。

参考 黄任 的《VHDL入门.解惑.经典实例.经验总结》 P84

6,127

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧