利用Quartus II如何从VHDL源代码得到逻辑电路图?

kanewalk 2008-03-26 01:17:46
我是初学者,VHDL程序可以通过编译,并且时序正确,但我想得到它的逻辑电路图,应该怎么做呢?
...全文
2975 15 打赏 收藏 转发到动态 举报
写回复
用AI写文章
15 条回复
切换为时间正序
请发表友善的回复…
发表回复
oSiEly 2012-10-21
  • 打赏
  • 举报
回复
bumingbai
蓝蓝的草原 2012-04-26
  • 打赏
  • 举报
回复
谢谢啦 学习学习
hyjkvvv 2012-04-07
  • 打赏
  • 举报
回复
谢谢~~~~
fenghust 2011-10-28
  • 打赏
  • 举报
回复
受教育了,感谢
tinntp 2011-08-31
  • 打赏
  • 举报
回复
受教了
tangwenq 2011-03-12
  • 打赏
  • 举报
回复
学会了,呵呵
neverznga 2010-12-20
  • 打赏
  • 举报
回复
受教,非常感谢
wujiaweinihao 2010-05-09
  • 打赏
  • 举报
回复
俺也从中受益,谢谢了!
meiZiNick 2008-05-01
  • 打赏
  • 举报
回复
都是很好的建议! 值得学习
erwa 2008-04-13
  • 打赏
  • 举报
回复 1
发表于:2008-04-07 16:08:003楼 得分:0
在Tool-->Netlist Viewers-->RTL Viewer
图片贴不上来
sdlmg 2008-04-10
  • 打赏
  • 举报
回复
aaronstone所言极是
aaronstone 2008-04-07
  • 打赏
  • 举报
回复 1
在Tool-->Netlist Viewers-->RTL Viewer
图片贴不上来
mochen5460 2008-04-04
  • 打赏
  • 举报
回复
我只知道通过综合可以,具体的就不知道了.我也是新手
[源代码]Python算法详解, 积分商城个人中心 首 页 资源分类 资料属性 源码 软件 教程 电路 手册 笔记 经验 习题答案 应用设计 技术资料 电子大赛 开发板 模块 驱动开发 移动开发 加密解密 压缩解压 编译器/仿真器 多媒体处理 像 游戏 音视频 传感器 连接器 软件 Altium Designer Atmel studio CAD CAM Candence CCS Codewarrior CorelDRAW IAR ISE Keil Labview Libero IDE Matlab MDK Modelsim Multisim PADS Protel proteus Quartus Source Insight Visual Studio 编程语言 C C++ C# JAVA Objective-c VB 汇编 Matlab编程 Labview编程 Verilog HDL VHDL python ruby delphi SQL CGI Perl R Swift php ASP JSP .NET HTML javascript 其他 应用 嵌入式 单片机 电源 测试测量 工业控制 汽车电子 安防监控 医疗电子 通信网络 模拟技术 机械综合 显示光电 智能小车 消费电子 物联网 智能硬件 照明 电子基础 IC设计 串口调试 模拟电路 数字电路 ADC MOS 放大器 存储器 编解码 算法 DIY 技术热点 Android ARM AVR DSP EDA FPGA IOS Linux MIPS Msp430 Nucleus PCB PLC PLD STM Symbian ubuntu vxworks 常用软件 ebook 全部 提交最新搜索: stc32 DS18B20 数码 HDC1080 上升沿捕获转为下降沿捕获方法 防护电路 gd32l23您现在的位置是:首页 > 源码 > [源代码]Python算法详解推荐星级:12345 [源代码]Python算法详解 更新时间:2022-09-12 13:10:47大小:18M上传用户:Laspide查看TA发布的资源标签:python算法下载积分:2分评价赚积分(如何评价?)收藏评论(0)举报资料介绍基于Python分别讲解了算法是程序的灵魂,数据结构,常用的算法思想,线性表、队列和栈,树,,查找算法,内部排序算法,经典的数据结构问题,解决数学问题,经典算法问题,解决像问题,游戏和算法等内容。以“技术解惑”贯穿全书,引领全面掌握算法的核心技术。
实验三 加法器的设计与仿真 一、实验目的 熟悉Quartus 仿真软件的基本操作,用逻辑VHDL语言设计加法器并验证。 二、实验内容 1、熟悉Quartus 软件的基本操作,了解各种设计输入方法(原理设计、文本设计、波形设计) 2、用逻辑VHDL语言设计全加器并进行仿真验证; 3、用设计好的全加器组成串行加法器并进行仿真验证; 4、用逻辑设计4位先行进位全加器并进行仿真验证; 三、实验原理 1. 全加器 全加器英文名称为full- adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位 全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多 位全加器。 用途:实现一位全加操作 逻辑 真值表 "X "Y "CIN "S "COUT " "0 "0 "0 "0 "0 " "0 "0 "1 "1 "0 " "0 "1 "0 "1 "0 " "0 "1 "1 "0 "1 " "1 "0 "0 "1 "0 " "1 "0 "1 "0 "1 " "1 "1 "0 "0 "1 " "1 "1 "1 "1 "1 " 利用与或门设计的全加器,它只能做一位的加法,先预想好它的功能,写出真 值表,就可以根据这些来设计电路了。 2.四位串行加法器 逻辑 利用全加器的组合实现4位串行加法器,全加器只能对一位进行操作,将每一 位的结果传给下一位,就可以实现4位的加法器。 3.74283:4位先行进位全加器(4-Bit Full Adder) 利用74283芯片实现的4位先行进位全加器比前两者功能更完善,它可以实现进 位功能,这个自己设计难度比较大,可以参照74283的功能表加深对它的理解,按 照如下的逻辑实现进位全加器。 逻辑框 逻辑功能表 注:1、输入信号和输出信号采用两位对折列表,节省表格占用的空间,如: [A1/A3]对应的列取值相同,结果和值[Σ1/Σ3]对应的运算是Σ1=A1+B1和Σ3=A3+B3 。请自行验证一下。 2、C2是低两位相加产生的半进位,C4是高两位相加后产生的进位输出,C0是 低位级加法器向本级加法器的进位输入。 四、实验方法与步骤 实验方法: 采用基于FPGA进行数字逻辑电路设计的方法。 采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。 实验步骤: 全加器 1. 编写源代码。打开Quartus 软件平台,点击File中得New建立一个文件。编写的文件名 与实体名一致,点击File/Save as以".vhd"为扩展名存盘文件。VHDL设计源代码如下: 数据流描述: 2、按照实验箱上FPGA的芯片名更改编程芯片的设置。点击Assign/Device,选取芯片的类 型,选择"Altera的EPF10K20TI144_4" 3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结 果有错误或警告,则将要调试修改直至文件编译成功。 4、波形仿真及验证。在编译成功后,点击Waveform开始设计波形。点击"insert the node",按照程序所述插入节点,设置输入信号的波形,给予适当的信号激励,点击保 存按钮保存。然后进行功能仿真,选择菜单Processing->Generate Functional Netlist命令产生功能仿真网表,选择菜单Assignments-- >Setting下拉列表中选择Simulator input ,在右侧的Simulation mode下拉列表中选择Functional,完成设置;选择菜单中的 Processing->Start Simulation启动功能仿真,然后查看波形报告中的结果 5. 时序仿真。选择菜单Assignments-->Setting下拉列表中选择Simulator input ,在右侧的Simulation mode下拉列表中选择Timming,完成设置;选择菜单中的 Processing->Compiler Tool命令,单击Start,执行全编译,然后选择菜单中的 Processing->Start Simulation启动时序仿真,然后查看波形报告中的结果 FPGA芯片编程及验证。 进行目标器件的选择及管脚分配:选择菜单Assignments-- >Pins命令,弹出包含器件顶层视的窗口,以不同颜色的和符号表示不同类型的管脚, 并以其他的符号表示I/O块,双击节点一行的Location列的空白格弹出管脚列表,本实验 均选择I/O管脚。分配完管脚后,选择菜单Processing->Compiler Tool命令,单击Start,执行全编译,更新。 编程下载及硬件测试:将实验板连接都电脑上,

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧