社区
硬件设计
帖子详情
VHDL中,downto与to有什么区别啊
bluesky140
2009-03-11 03:17:10
variable a,b:std_logic_vector(7 downto 0);
a(0 to 5):=b(2 to 7);
在上面两句话中,downto 与to 在使用中有什么区别呢?
a(0 to 5):=b(7 downto 2);中,a(0)到底等于b(2)还是b(7)啊?
a(0 to 5):="010101" a(0)是等于0还是等于1?
a(5 to 0)或者a(2 downto 6) 这种描述方式对吗?
谢谢
...全文
7835
7
打赏
收藏
VHDL中,downto与to有什么区别啊
variable a,b:std_logic_vector(7 downto 0); a(0 to 5):=b(2 to 7); 在上面两句话中,downto 与to 在使用中有什么区别呢? a(0 to 5):=b(7 downto 2);中,a(0)到底等于b(2)还是b(7)啊? a(0 to 5):="010101" a(0)是等于0还是等于1? a(5 to 0)或者a(2 downto 6) 这种描述方式对吗? 谢谢
复制链接
扫一扫
分享
转发到动态
举报
写回复
配置赞助广告
用AI写文章
7 条
回复
切换为时间正序
请发表友善的回复…
发表回复
打赏红包
Li Kang
2011-09-13
打赏
举报
回复
谢谢楼主
ebaozi
2009-06-04
打赏
举报
回复
[Quote=引用 4 楼 skye_ld 的回复:]
所以总结成一句话,声明的时候无差别,赋值的时候要注意~~
[/Quote]
精辟……路过学习
我觉得声明的时候还是从高到低吧,符合平时习惯。
skye_ld
2009-03-15
打赏
举报
回复
a(0)=b(7)
To是由低到高 Downto是有高到低
具体赋值没有区别 但是前后设置要一致 要to就都to 要不就都Downto
To和downto之间的赋值会高地位颠倒
所以总结成一句话,声明的时候无差别,赋值的时候要注意~~
bluesky140
2009-03-12
打赏
举报
回复
谢谢,大致明白了
aaronstone
2009-03-12
打赏
举报
回复
downto 从高位到低位
to 从低位到高位
语法上没有错误
不过我不这么用
benjaminweber
2009-03-11
打赏
举报
回复
to 是从小到大
downto 是从大到小
a(0 to 5) := b(7 downto 2)中, a(0)=b(7)
我不认为将a声明为7 downto 0之后用0 to 5的方式使用是正确的,至少是不合适的。
声明为 to 就该用 to, 声明为 downto, 就该用 downto 比较好
VHDL
实例8位加法器与乘法器设计
VHDL
实例8位加法器与乘法器设计
24秒计时器
vhdl
24秒计时器
VHDL
entity timer is port( clk : in std_logic; rst : in std_logic; pause : in std_logic; hit : in std_logic; sec1 : out std_logic_vector(5
downto
0); sec2 : out std_logic_vector(7
downto
0); sec1_pause : out std_logic_vector(5
downto
0); sec2_pause : out std_logic_vector(7
downto
0) ); end timer;
vhdl
数据类型转换函数
涵盖了大量的数据转换函数,可以轻松的运用于
vhdl
的大量的编程
中
!
VHDL
元件例化对应工程
https://blog.csdn.net/qq_40033089/article/details/88854837 博客
中
的
VHDL
元件例化对应工程
VHDL
数字电路设计教程
VHDL
数字电路设计教程
VHDL
数字电路设计教程
VHDL
数字电路设计教程
硬件设计
6,156
社区成员
11,290
社区内容
发帖
与我相关
我的任务
硬件设计
硬件/嵌入开发 硬件设计
复制链接
扫一扫
分享
社区描述
硬件/嵌入开发 硬件设计
社区管理员
加入社区
获取链接或二维码
近7日
近30日
至今
加载中
查看更多榜单
社区公告
暂无公告
试试用AI创作助手写篇文章吧
+ 用AI写文章