问一个很菜的问题,QUARTUS II中波形仿真文件加入输入激励后,为什么输出信号没有波形

ade_eda 2009-07-27 06:14:04
现在正开始学习QUARTUSii,刚开始几天用的还可以,可以现在发现在vwf仿真文件中,加入输入信号激励后,输出信号并不产生对应的波形。

例如:设计的一个奇校验电路:仿真波形出不来

]

请高手给予解答,非常感谢啊!

再问一个问题,就是对波形的分析,我做了关于三态门的实现,
程序如下:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY triout IS
PORT(datain:IN std_logic;
dataout:OUT std_logic;
outen:IN std_logic);
END triout;
ARCHITECTURE behv OF triout IS
BEGIN
PROCESS(outen)
BEGIN
IF outen='0' THEN
dataout<='Z';
ELSE
dataout<=datain;
END IF;
END PROCESS;
END behv;
可得到如下波形,
...全文
3954 9 打赏 收藏 转发到动态 举报
写回复
用AI写文章
9 条回复
切换为时间正序
请发表友善的回复…
发表回复
net_friends 2011-08-16
  • 打赏
  • 举报
回复
因为你这是0贴
cmysndht 2011-08-15
  • 打赏
  • 举报
回复
怎么看不到图形?
lgy198612 2010-12-05
  • 打赏
  • 举报
回复
呵呵,想想年经时候的我
amdarm270 2010-11-30
  • 打赏
  • 举报
回复
解决问题啦?
KKKIRA 2010-11-02
  • 打赏
  • 举报
回复
的确在仿真的时候会有问题,我就是在双向总线缓冲器那哥地方出过问题~
lxbombs 2009-09-05
  • 打赏
  • 举报
回复
多挣点分好下载!
woshidada11 2009-09-04
  • 打赏
  • 举报
回复
呵呵,你的敏感变量是两个:process(outen,in)就可以了!
MFKPL 2009-08-28
  • 打赏
  • 举报
回复
这个肯定不对 了
IF outen='1' THEN
dataout <=datain;
ELSE
dataout <='Z';
这样改下就可以了
MFKPL 2009-08-28
  • 打赏
  • 举报
回复
这个肯定不对 了
IF outen='1' THEN
dataout <='Z';
ELSE
dataout <=datain;
这样改下就可以了

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧