ISE 仿真波形时只有clk信号

NANAjjj 2010-10-26 05:10:14
刚开始学,按照书中步骤一步步来的,敲程序,综合,仿真,出不了结果,仿真界面出现的只有clk信号,而且是一条直线,中间写个Z,这是什么原因啊?无中文路径,综合没有错误,程序如下,希望给帮帮忙啊~~

module text(clk,din,dout);
input clk;
input [7:0] din;
output [7:0] dout;
reg [7:0] dout;
always @(posedge clk)
begin
dout<=din+1;
end
endmodule
...全文
465 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
NANAjjj 2010-10-27
  • 打赏
  • 举报
回复
好了,结贴,分全部给你吧
NANAjjj 2010-10-26
  • 打赏
  • 举报
回复
晕,设了个初值,就正常了,output [7:0] dout=0;
结果就对了……
NANAjjj 2010-10-26
  • 打赏
  • 举报
回复
一定要写测试电路嘛?我刚刚又试了另一个类似的程序,可以运行出结果,这两个程序差不多啊

module counter(clk,reset,cnt);
input clk,reset;
output cnt;
reg [15:0] cnt=0;
always @(posedge clk)
begin
if(!reset)
cnt<=0;
else
cnt<=cnt+1;
end

endmodule
benjaminweber 2010-10-26
  • 打赏
  • 举报
回复
你没有写测试电路。Z在这里表示没有驱动。

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧