用硬件语言VHDL设计与实现16位定点式乘法器

suixinpiaodang 2011-11-15 10:02:18
我们计算机组成原理课程设计要求我们做“16位定点式乘法器的设计与实现”,但我们是软件工程专业的学生,电路都没学过,VHDL更不用到说了。目前正在纠结中,,请问谁有这方面的经验,给我说一下怎么入手吧,我们的时间只有一个半月,,并且课程还很紧。。如果有示例代码的话更好,,谢谢。。
...全文
321 3 打赏 收藏 转发到动态 举报
写回复
用AI写文章
3 条回复
切换为时间正序
请发表友善的回复…
发表回复
suixinpiaodang 2011-11-27
  • 打赏
  • 举报
回复
哦,谢谢了,在短时间内学一门语言,然后再掌握,好难,所以我们就改成C语言实现了,,不管怎么说,还是谢谢你。。。
listen345 2011-11-23
  • 打赏
  • 举报
回复
有问题可以继续问~
listen345 2011-11-23
  • 打赏
  • 举报
回复
其实虽然VHDL是对硬件编程,但编程和电路联系不大。
建议跳过硬件部分,看一下vhdl语言,否则巧妇难为无米之炊。这个并不难,VHDL编程就是声明一个实体和若干进程而已。
quartus内部嵌入了乘法器,如果允许可以直接调用。如果不允许,将数据左移一位就是乘以2,左移两位就是乘以4.如果要乘以3就是左移一位然后加上原来的数据。依次类推。
楼主加油,不要只想走捷径的说。。

6,127

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧