8*8点阵显示屏先后显示两个汉字

tuhongliang89 2011-12-04 09:42:46
我想在8*8点阵显示屏上先后显示两个字,都是红字。但是下载到板上后点阵显示屏在不停的扫描,我刚接触EDA,学的不是很好,找不出来问题在哪,希望各路高手能够指点一二。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY seg70 IS
PORT (
clk : IN std_logic;
rst : IN std_logic;
dataout : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出
en : OUT std_logic_vector(7 DOWNTO 0)); --COM使能输出
END seg70;

ARCHITECTURE arch OF seg70 IS

signal cnt_scan : std_logic_vector(17 downto 0 );
signal data4 : std_logic_vector(3 downto 0);
signal dataout_xhdl1 : std_logic_vector(7 downto 0);
signal en_xhdl : std_logic_vector(7 downto 0);
signal clk1 : std_logic;
begin
dataout<=dataout_xhdl1;
en<=en_xhdl;
process(clk,rst)
begin
if(rst='0')then
cnt_scan<="000000000000000000";
elsif(clk'event and clk='1')then
cnt_scan<=cnt_scan+1;
end if;
end process;

process(cnt_scan(17 downto 15))
begin
case cnt_scan(17 downto 15) is
when"000"=> en_xhdl<="11111110";
when"001"=> en_xhdl<="11111101";
when"010"=> en_xhdl<="11111011";
when"011"=> en_xhdl<="11110111";
when"100"=> en_xhdl<="11101111";
when"101"=> en_xhdl<="11011111";
when"110"=> en_xhdl<="10111111";
when"111"=> en_xhdl<="01111111";
when others=> en_xhdl<="11111110";
end case;

end process;
process(en_xhdl)
begin
case en_xhdl is
when "11111110"=> data4<="0000";
when "11111101"=> data4<="0001";
when "11111011"=> data4<="0010";
when "11110111"=> data4<="0011";
when "11101111"=> data4<="0100";
when "11011111"=> data4<="0101";
when "10111111"=> data4<="0110";
when "01111111"=> data4<="0111";
when others => data4<="1000";
end case;
end process;
process(clk)

VARIABLE count:INTEGER RANGE 0 TO 99999999;
BEGIN
IF clk'EVENT AND clk='1' THEN --当时钟脉冲上升沿到来时执行下面语句
IF count<=49999999 THEN
clk1<='0'; --当count<=4999999时divls=0并且count加1
count:=count+1;
ELSIF count>=49999999 AND count<=99999999 THEN --当ount>=4999999 并且 count<=9999998时
clk1<='1'; --
count:=count+1; --clk1=1并且count加1
ELSE count:=0; --当count>=4999999时清零count1
END IF;
END IF;
END PROCESS;
PROCESS(data4,clk1)
variable count1:INTEGER RANGE 0 TO 3; --定义的整型变量用做计数器
BEGIN
IF clk1'event AND clk1='1'THEN --当时钟脉冲上升沿到来时执行下面语句
if count1<=3 then --当COUNT1<=9时执行下面语句
if count1=2 then --当COUNT1=8时,COUNT1清零
count1:=0; --
end if;
if count1 =0 then

case data4 is

WHEN "0000" =>
dataout_xhdl1 <= "11111011";
WHEN "0001" =>
dataout_xhdl1 <= "00000101";
WHEN "0010" =>
dataout_xhdl1 <= "11011000";
WHEN "0011" =>
dataout_xhdl1 <= "11011101";
WHEN "0100" =>
dataout_xhdl1 <= "11011000";
WHEN "0101" =>
dataout_xhdl1 <= "11011011";
WHEN "0110" =>
dataout_xhdl1 <= "11011101";
WHEN "0111" =>
dataout_xhdl1 <= "00000110";
WHEN "1000" =>
dataout_xhdl1 <= "00000100";
WHEN "1001" =>
dataout_xhdl1 <= "00011100";
WHEN "1010" =>
dataout_xhdl1 <= "00010100";
WHEN "1011" =>
dataout_xhdl1 <= "11000001";
WHEN "1100" =>
dataout_xhdl1 <= "01100011";
WHEN "1101" =>
dataout_xhdl1 <= "10000101";
WHEN "1110" =>
dataout_xhdl1 <= "01100001";
WHEN "1111" =>
dataout_xhdl1 <= "01110001";
WHEN OTHERS =>
dataout_xhdl1 <= "00000011";
end case;

elsif count1 =1 then
case data4 is
WHEN "0000" =>
dataout_xhdl1 <= "11111011";
WHEN "0001" =>
dataout_xhdl1 <= "00000101";
WHEN "0010" =>
dataout_xhdl1 <= "11011000";
WHEN "0011" =>
dataout_xhdl1 <= "11011101";
WHEN "0100" =>
dataout_xhdl1 <= "11011000";
WHEN "0101" =>
dataout_xhdl1 <= "11011011";
WHEN "0110" =>
dataout_xhdl1 <= "11011101";
WHEN "0111" =>
dataout_xhdl1 <= "00000110";
WHEN "1000" =>
dataout_xhdl1 <= "00000100";
WHEN "1001" =>
dataout_xhdl1 <= "00011100";
WHEN "1010" =>
dataout_xhdl1 <= "00010100";
WHEN "1011" =>
dataout_xhdl1 <= "11000001";
WHEN "1100" =>
dataout_xhdl1 <= "01100011";
WHEN "1101" =>
dataout_xhdl1 <= "10000101";
WHEN "1110" =>
dataout_xhdl1 <= "01100001";
WHEN "1111" =>
dataout_xhdl1 <= "01110001";
WHEN OTHERS =>
dataout_xhdl1 <= "00000011";
end case;

END if;
count1:=count1+1;
end if;
end if;
end process;
end arch;
...全文
592 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复
16×16点阵LED显示汉字 Proteus中点阵LED最大为8×8点阵,不能用来显示汉字,而四片接在一起又因为引脚太近,无法接线。然而,是不是这样就意味着不能仿真“点阵汉字”了呢?笔者经过研究,将库里的8×8点阵LED修改后,将四片8×8点阵LED合并成一体,就成了16×16的点阵LED了。 该LED的特点是:共阴、逐行扫描、低在前高位在后,上面的引脚为数据口,下面的引脚为行选引脚,低电平有效。 电路由AT89C52、4片74HC138、4片8×8点阵组成。74HC138用于选择行,4片74HC138的有效顺序为:左上,右上,左下,右下。P0口作为数据口,4片74HC138列引脚都接到P0口。显示汉字的示意图如下图所示: ---------------------> ----------------------> ---------------------------------------------------------------- LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB ---------------------------------------------------------------- LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB LSB 0 1 2 3 4 5 6 7 MSB | LSB 0 1 2 3 4 5 6 7 MSB ---------------------------------------------------------------- 以下程序在16×16点阵LED上依次显示“梅川酷子”四个字,分别用正向显示和反向显示,间隔两秒钟变换一次,电路图和效果图下图所示。 AT89c52晶振频率为24MHz,用T0定时,改变变量flag值,从而让程序确定显示哪个汉字显示方式(正向or反向)。 #i nclude #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; /* flag变量 MSB 7 6 5 4 3 2 1 0 LSB × × × Bit5=1,Bit4=0 时,负向显示 Bit5=0,Bit4=1 时,负向显示 Bit[2..0]74HC138的片选信号 */ int8 n; int8 code table[][32]={ {0x88,0x00,0x88,0x00,0x88,0x7F,0x48,0x00,0xDF,0x1F,0xA8,0x10,0x9C,0x12,0xAC,0x14,0xEA,0x7F,0x8A,0x12,0x89,0x14,0x88,0x10,0x88,0x7F,0x08,0x10,0x08,0x14,0x08,0x08},/*"梅",0*/ {0x08,0x20,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x04,0x21,0x04,0x20,0x02,0x00},/*"川",1*/ {0x00,0x08,0xFE,0x08,0x28,0x0A,0x28,0x7E,0xFE,0x0A,0xAA,0x09,0xAA,0xFF,0xEA,0x00,0x86,0x00,0x82,0x7E,0xFE,0x42,0x82,0x42,0x82,0x42,0xFE,0x7E,0x82,0x42,0x00,0x00},/*"酷",2*/ {0x00,0x00,0xF8,0x1F,0x00,0x08,0x00,0x04,0x00,0x02,0x00,0x01,0x00,0x01,0x00,0x41,0xFE,0xFF,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x40,0x01,0x80,0x00}/*"子",3*/ }; void delay(void); void main(void){ int8 i; int8 j; int8 index; flag=0x10; n=0; //定时器T0初始化 TMOD=0x01; TH0=0xb1; TL0=0xe0; ET0=1; EA=1; TR0=1; while(1) { index=flag&0x03; if((flag&0x30)==0x10) { //正向显示 for(i=0;i<8;i++) { //显示上半屏 P0=table[index][2*i]; //左上 P2=i|0x08; delay(); P0=table[index][2*i+1]; //右上 P2=i|0x10; delay(); } for(i=8;i<16;i++) { //显示下半屏 P0=table[index][2*i]; //左下 P2=(i-8)|0x20; delay(); P0=table[index][2*i+1]; //右下 P2=(i-8)|0x40; delay(); } } if((flag&0x30)==0x20) { //反向显示 for(i=0;i<8;i++) { P0=~(table[index][2*i]); //左上 P2=i|0x08; delay(); P0=~(table[index][2*i+1]); //右上 P2=i|0x10; delay(); } for(i=8;i<16;i++) { P0=~(table[index][2*i]); //左下 P2=(i-8)|0x20; delay(); P0=~(table[index][2*i+1]); //右下 P2=(i-8)|0x40; delay(); } } } } void delay(void){ int16 i; for(i=0;i<50;i++); } void timer0() interrupt 1 using 3 { TF0=0; TH0=0xb1; TL0=0xe0; //10ms中断一次 if(n<200) { n++; } else { //2秒改变一次 switch(flag) { case 0x10: { flag=0x11;//下次显示正向“川” break; } case 0x11: { flag=0x12;//下次显示正向“酷” break; } case 0x12: { flag=0x13;//下次显示正向“子” break; } case 0x13: { flag=0x20;//下次显示负向“川” break; } case 0x20: { flag=0x21;//下次显示负向“梅” break; } case 0x21: { flag=0x22;//下次显示负向“酷” break; } case 0x22: { flag=0x23;//下次显示负向“子” break; } case 0x23: { flag=0x10;//下次显示正向“梅” break; } } n=0; } }

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧