关于verilog中底层模块调用顶层模块的问题

nobita88513 2012-02-26 08:42:40
我想在顶层模块中调用子模块实现对顶层模块中寄存器变量的操作,可是报错说这个寄存器变量没有在子模块中声明,难道不能在子模块中操作顶层模块的寄存器吗?
...全文
931 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
falloutmx 2012-02-27
  • 打赏
  • 举报
回复
你连接进来就可以啊。不过从你的描述看,你的思维是软件思维
nobita88513 2012-02-27
  • 打赏
  • 举报
回复
嗯,我知道了,我基本没做过硬件,小弟初学,谢了

1,068

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 非技术区
社区管理员
  • 非技术区社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧