QuartusII 中fir滤波器IP核的RTL级仿真出错

zqs1990 2013-04-04 12:53:20
用QuartusII_10.1与Modlesim_10.0a做fir滤波器的联合仿真,直接在QuartusII中调用Tools-Run EDA Simulation tool-EDA RTL Simulation,在Modlesim中出现以下错误,使用的编程语言是Verilog,


有没有遇到过类似问题的同学啊?帮忙回答一下啊

另外在QuartusII中调用Tools-Run EDA Simulation tool-EDA Gate Level Simulation确可以仿真

顶层程序:
`timescale 1ns/1ps

module fir(
clk,
reset_n,
x,
y);


input clk;
input reset_n;
input [17:0] x;

output [17:0] y;


wire [35:0] y_c;


lpf lpf1(
.clk(clk),
.reset_n(reset_n),
.ast_sink_data(x),
.ast_sink_valid(1'b1),
.ast_source_ready(1'b1),
.ast_sink_error(2'b00),
.ast_source_data(y_c),
.ast_sink_ready(),
.ast_source_valid(),
.ast_source_error());


assign y = y_c[35:18];


endmodule


//----------------------
testbench:

`timescale 1 ns/ 1 ps
module test();

parameter period = 20,
period_2 = period/2;
reg clk;
reg reset_n;
reg [17:0] x;
// wires
wire [17:0] y;

// assign statements (if any)
fir i1 (
// port map - connection between master ports and signals/registers
.clk(clk),
.reset_n(reset_n),
.x(x),
.y(y)
);
initial
begin
clk = 1'b0;
reset_n = 1'b0;
x = 18'd0;

#(10*period)
reset_n = 1'b1;


$display("Running testbench");
end

always #(period_2) clk = ~clk;

always @(posedge clk or negedge reset_n)
begin
if (!reset_n)
x <= 0;
else
x <= x + 18'd10;
end

endmodule
...全文
3415 11 打赏 收藏 转发到动态 举报
写回复
用AI写文章
11 条回复
切换为时间正序
请发表友善的回复…
发表回复
chengbing_bupt1 2015-05-26
  • 打赏
  • 举报
回复
引用 9 楼 X525584940 的回复:
[quote=引用 2 楼 zqs1990 的回复:] 自己找到原因了。
、 我今天也碰到了 , library auk_dspip_lib not found 请问,如何更改,多谢 [/quote 请问您是怎么解决这个问题的
caoyuanshenju 2014-05-30
  • 打赏
  • 举报
回复
引用 2 楼 zqs1990 的回复:
自己找到原因了。
楼主发现时什么原因?
小舟此逝 2013-10-02
  • 打赏
  • 举报
回复
引用 2 楼 zqs1990 的回复:
自己找到原因了。
、 我今天也碰到了 , library auk_dspip_lib not found 请问,如何更改,多谢
chenjinhuaxiaoniao 2013-07-28
  • 打赏
  • 举报
回复
你好,你那ip核要花钱买的吧。。。即使说仿真出来,你看结果的数据应该也看不出什么来吧
蔡金平 2013-06-28
  • 打赏
  • 举报
回复
FIR滤波器理论上会增加数据的。因为存在拖尾。 楼上的问题可能是这样的:因为您实现的是低通滤波器,而你给的数据中含有高频分量,经过滤波以后,高频分量被滤除了,剩下的都是0,所以非0数据减少,以为数据丢失了。
Arron_Yao 2013-05-06
  • 打赏
  • 举报
回复
嗨,您好,我现在也在用FIR IP核做FIR低通滤波这一块。我的问题是如果我的输入数据是8184个数据,为什么经过滤波器后的输出为8175个数据呢?这里数据到底丢在哪里了?如果您也遇到了这个问题,请问您是怎么解决的?
u010446694 2013-04-25
  • 打赏
  • 举报
回复
你好。我也在学这块,想向你学下q1316541682
zqs1990 2013-04-07
  • 打赏
  • 举报
回复
自己找到原因了。
zqs1990 2013-04-04
  • 打赏
  • 举报
回复
没有人遇到过这个问题吗?

2,432

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 其他硬件开发
社区管理员
  • 其他硬件开发社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧