VHDL 一个简单例子,却很难明白

纳尼哥归来 2013-06-26 09:13:21
BEGIN
PROCESS(clk)
BEGIN
IF (clk='1' OR clk='0' ) THEN
IF(COUNT="10") THEN
count <= (OTHERS =>'0');
clk_temp <=NOT clk_temp;
ELSE
count <= count +1;
END IF ;
END IF ;
END PROCESS;
clk_div6 <= clk_temp;
END rtl;
QUartus 9.0
仿真没输出
...全文
169 1 打赏 收藏 转发到动态 举报
写回复
用AI写文章
1 条回复
切换为时间正序
请发表友善的回复…
发表回复
nilwade 2013-07-02
  • 打赏
  • 举报
回复
LZ是想做一个分频处理吧。 感觉IF (clk='1' OR clk='0' ) THEN 这句,时钟信号不是高肯定是低了,这个条件不是等于没用?? 不知道自己是不是理解错了?? 建议试试沿触发条件

3,423

社区成员

发帖
与我相关
我的任务
社区描述
其他开发语言 其他开发语言
社区管理员
  • 其他开发语言社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧