quartus ii 乘法器

栏外人 2014-04-02 02:48:41
quartus ii 里面用verilog写了个乘法
module arithmetic(A,B,Q);
input [3:0] A;
input[3:0] B;
output [7:0] Q;
assign Q=A*B;
endmodule
从综合报告可以看出是用逻辑单元生成的乘法器,我想用FPGA自带的乘法器怎么设置啊?

...全文
1366 10 打赏 收藏 转发到动态 举报
写回复
用AI写文章
10 条回复
切换为时间正序
请发表友善的回复…
发表回复
flz 2014-04-21
  • 打赏
  • 举报
回复
路过,看看,路过,看看,
致。简 2014-04-15
  • 打赏
  • 举报
回复
直接对调用“乘法器”,然后进行例化即可。
mengfj_1985 2014-04-15
  • 打赏
  • 举报
回复
4#发的网址看了下,按其综合报告包括1个Embedd Multiplier 9-bit elements
栏外人 2014-04-04
  • 打赏
  • 举报
回复
引用 5 楼 Binzo 的回复:
[quote=引用 3 楼 xiao31zhu 的回复:] [quote=引用 1 楼 Binzo 的回复:] 通过wizard建立模块时( 右击-->insert-->),有些好像有这个选项的。自己写verilog可能不行。
没找到。。。[/quote]
module mult(outcome,a,b);   input [7:0] a,b;   output [15:0]outcome;
  assign outcome = a*b;  endmodule
我刚刚试了一下这个代码,综合时会使用1个Embedd Multiplier 9-bit elements。 使用的是Quartus。[/quote] 不太明白,我的代码为什么就不能使用固化的乘法器呢?
Binzo 2014-04-04
  • 打赏
  • 举报
回复
引用 3 楼 xiao31zhu 的回复:
[quote=引用 1 楼 Binzo 的回复:] 通过wizard建立模块时( 右击-->insert-->),有些好像有这个选项的。自己写verilog可能不行。
没找到。。。[/quote]
module mult(outcome,a,b);   input [7:0] a,b;   output [15:0]outcome;
  assign outcome = a*b;  endmodule
我刚刚试了一下这个代码,综合时会使用1个Embedd Multiplier 9-bit elements。 使用的是Quartus。
栏外人 2014-04-04
  • 打赏
  • 举报
回复
引用 2 楼 xuweiwei1860 的回复:
这个是内置的乘法器 你自己例化的当然不算在里面 之歌总是只是显示里面有多少个已经固化好的你可以直接用
我也这么理解,但是我看有人发的贴里面说自己例化的也调用了FPGA固化的乘法器,这个是网址:http://blog.163.com/jinyun_xie/blog/static/1283908112012321112745613/
栏外人 2014-04-04
  • 打赏
  • 举报
回复
引用 1 楼 Binzo 的回复:
通过wizard建立模块时( 右击-->insert-->),有些好像有这个选项的。自己写verilog可能不行。
没找到。。。
fly 100% 2014-04-04
  • 打赏
  • 举报
回复
这个是内置的乘法器 你自己例化的当然不算在里面 之歌总是只是显示里面有多少个已经固化好的你可以直接用
Binzo 2014-04-04
  • 打赏
  • 举报
回复
引用 6 楼 xiao31zhu 的回复:
不太明白,我的代码为什么就不能使用固化的乘法器呢?
你4位的数据,位数少了。可能至少8位。
Binzo 2014-04-02
  • 打赏
  • 举报
回复
通过wizard建立模块时( 右击-->insert-->),有些好像有这个选项的。自己写verilog可能不行。

2,426

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 其他硬件开发
社区管理员
  • 其他硬件开发社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧