VHDL初学者,帮忙看看什么问题。

hit?? 2016-09-11 12:43:14
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;


ENTITY ADS8321 IS
PORT(dout:IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clk,cs: IN STD_LOGIC;
chu: OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
END ENTITY ADS8321;


ARCHITECTURE behave OF ADS8321 IS
VARIABLE ci:INTEGER;
BEGIN
PROCESS(cs,clk)
BEGIN
IF(cs’EVENT AND cs=’0’)THEN
ci:=0;
ELSIF(cs='0')THEN
IF(clk’EVENT AND clk=’1’)THEN
ci:=ci+1;
IF(ci>6)THEN
chu<=dout;
END IF;
END IF;
ELSIF(cs'EVENT AND cs='1')THEN
ci:=0;
END IF;
END PROCESS;
END ARCHITECTURE behave;


报错:
Error (10500): VHDL syntax error at Vhdl1.vhd(17) near text
求大神帮我纠错。
...全文
598 1 打赏 收藏 转发到动态 举报
写回复
用AI写文章
1 条回复
切换为时间正序
请发表友善的回复…
发表回复
hit?? 2016-09-11
  • 打赏
  • 举报
回复
已解决。书上说一个进程不能有超过一个边沿检测。并且变量声明在内部不会报错。开多个进程可以解决我的程序设计问题。

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧