Verilog分频器控制数码管显示出现灯半亮

qq_33865994 2016-12-26 07:52:09
...全文
816 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
guolh 2017-02-07
  • 打赏
  • 举报
回复
板子看着不错啊
fly 100% 2016-12-28
  • 打赏
  • 举报
回复
可能是这个led的和FPGA的io口连接,但是这个io口没有被配置成输入三态。
qq_33865994 2016-12-26
  • 打赏
  • 举报
回复
我写的代码,帮忙看一下,谢谢
qq_33865994 2016-12-26
  • 打赏
  • 举报
回复
module display_7( input CLK, input SW_in, output reg [10:0] display_out ); reg [2:0] sel=0; wire Z; reg [5:0]Q; parameter K=16; parameter MM=31; wire ld; assign ld=Q>=MM; assign Z=Q<K; always @(posedge CLK) Q<=ld?0:Q+1; always@(posedge Z) begin sel<=sel+1; if(sel==4)sel<=0; end always @(posedge Z) begin if(SW_in==0) begin case(sel) 0: display_out <=11'b0111_1001111; 1: display_out <=11'b1011_0010010; 2: display_out <=11'b1101_0000110; 3: display_out <=11'b1110_1001100; default: display_out <=11'b1111_1111111; endcase end else begin case(sel) 0: display_out <=11'b1110_1001111; 1: display_out <=11'b1101_0010010; 2: display_out <=11'b1011_0000110; 3: display_out <=11'b0111_1001100; default: display_out <=11'b1111_1111111; endcase end end endmodule
5个简单verilog程序示例,包含程序要求、代码实现以及仿真效果图,适合初学verilog的人学习。具体题目如下: 1. 设计一个1/5分频器。要求:编写设计模块;编写测试模型。 2. 设计一个用于篮球比赛的定时器。要求: (1)定时时间为24秒,按递减方式计时,每隔1秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,控制定时器的直接复位、启动计时、暂停/连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为1Hz。 (5)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综合。 (6)将设计代码和仿真代码写在作业本上。 3. 设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综合。 (4)将设计代码和仿真代码写在作业本上。 4. 设计一个序检测电路,功能是检测出串行输入数据Data中的5位二进制序列10010(自左至右输入),当检测到该序列时,输出Out为1;没有检测到该序列时,输出输出Out为0,要求: (1)用状态机方法设计; (2)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综合。 (3)将设计代码和仿真代码写在作业本上。 5. 设计一个轨道交通自动售票电路,只接受1,2,5元硬币,每张票价定额5元,并支持找零。要求: (1)用状态机方法设计; (2)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综合。 (3)将设计代码和仿真代码写在作业本上。

2,425

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 其他硬件开发
社区管理员
  • 其他硬件开发社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧