时序相移verilog

知梦 2017-05-13 03:40:14
使用verilog怎样实现特定时间内输出一个脉冲信号到特定时间点再输出与其有一定相位差的脉冲信号?怎样实现相移?
...全文
1077 5 打赏 收藏 转发到动态 举报
写回复
用AI写文章
5 条回复
切换为时间正序
请发表友善的回复…
发表回复
Feynmann 2017-11-17
  • 打赏
  • 举报
回复
用更高频的时钟做分频,如果没有,但是用一些高级FPGA的话,可以考虑使用里面每个IOB的内部buffer 链,在9年前的Xilinx V5里面我见过40ps可调的延迟buffer链
fly 100% 2017-05-16
  • 打赏
  • 举报
回复
要看你相位移多少,无非是高频率下的分频,相位变化的那个clk也就是起始点选择一下
知梦 2017-05-14
  • 打赏
  • 举报
回复
引用 1 楼 uid123的回复:
频率有限定么???而且怎么算相位?脉冲有相位么?
频率固定,相位可以认为是脉冲上升沿时刻与周期之比乘以360度
uid123 2017-05-13
  • 打赏
  • 举报
回复
频率有限定么???而且怎么算相位?脉冲有相位么?

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧