VHDL小错误:Error (10500): VHDL syntax error at main.vhd(30) near text "process"; e

kobewwq 2017-11-12 05:42:12
Error (10500): VHDL syntax error at main.vhd(30) near text "process"; expecting "if"
代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity main is
port ( rst, en :in std_logic;
d_in :in std_logic_vector(2 downto 0);
din: in std_logic_vector(3 downto 0) ;
led0,led1,led2,led3,led4,led5 : buffer std_logic_vector(3 downto 0);
suc :out std_logic;
nsuc :out std_logic);
end main;
architecture behav of main is
begin
process(d_in)
begin
if rst='1' then
led0<="0000" ; led1<="0000" ;led2<="0000" ;led3<="0000" ;led4<="0000" ;led5<="0000" ;suc<='0';nsuc<='0';
elsif en='1' then
if d_in="000" then led0<=din; end if;
if d_in="001" then led1<=din; end if;
if d_in="010" then led2<=din; end if;
if d_in="011" then led3<=din; end if;
if d_in="100" then led4<=din; end if;
if d_in="101" then led5<=din; end if;
if d_in="110"then
if (led0 & led1 & led2 & led3 & led4 & led5 = "001000010100010001100110") then suc<='1';nsuc<='0';t<="00";else suc<='0';nsuc<='1';
end if;
end if;
end process;
end behav;

...全文
8326 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
fenghaodaochen 2019-12-22
  • 打赏
  • 举报
回复
老铁们,这个是怎么回事?
凹特慢 2019-01-02
  • 打赏
  • 举报
回复
少了个endif 。总共9个if 对应的应该有9个endif 代码里只有8个
xintry 2017-11-18
  • 打赏
  • 举报
回复
少了 一个end if;
大米粥哥哥 2017-11-12
  • 打赏
  • 举报
回复
少个endif 么?......

6,120

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧