FPGA实现一个地址读一个字

qq_41146420 2018-04-30 06:42:00
使用xilinx ISE 14.7,如何用IP核(RAM类)实现一个RAM,数据宽度8bit,但可以用一个地址存取1个字(32bit)?
比如实现类似mips的存储模型,1个地址单元8bit,但可以实现LW, SW的操作?

谢谢回答!
...全文
1537 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
meimmp123 2018-08-18
  • 打赏
  • 举报
回复
32位宽RAM
或者4个8位RAM, 地址并接
zgl7903 2018-07-17
  • 打赏
  • 举报
回复
32位宽RAM
或者4个8位RAM, 地址并接
liu_y_yun 2018-07-14
  • 打赏
  • 举报
回复
IP核还可以实现这种操作?直接配置成32-bit的数据宽度不是更简单?

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧