verilog 设计密码锁

spark__huang 2019-03-05 08:38:52
语言:verilog HDL
要求:
1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;
2、在锁的控制电路中储存一个可以修改的4位二进制代码,当开锁按钮开关的输入代码等于储存代码时,开锁;
3、 从第一个按钮触动后的30秒内若未将锁打开,则电路长报警30S,若输入密码错误1或2次,则每次短报警5S,若输错三次密码则长报警。
...全文
1092 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
weixin_45231039 2019-06-12
  • 打赏
  • 举报
回复
四位密码锁的设计:可设置密码。密码正确亮绿灯,密码错误亮红灯。三次错误锁死。输入密码过程中可以回退和清除,基于FPGA可实现的,7段数码管显示,LED红绿显示输入密码对错
91program 2019-03-06
  • 打赏
  • 举报
回复
LZ 有没有在网上查找一下,应该有很多类似的代码,然后自己修改一下就可以满足你的要求了。

19,500

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 嵌入开发(WinCE)
社区管理员
  • 嵌入开发(WinCE)社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧