Verilog testbench 激励正弦波

qq_44832134 2019-04-20 01:52:58
最近写一个Verilog程序,想用modelsim仿真,输入信号是一个用ad采回来的峰峰值为10v的200hz正弦波,量化完传给fpga. 。现想用matlab生成这样的信号并且存到txt.文件中,现在有点别不开弯了,求大佬给个程序参考参考
...全文
635 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧