HDL 9-806 syntax error near

橦子埂 2019-05-10 11:11:55
vivado设计出现这个问题 最后解决是中英文标点有问题,还有reg试用方式错误 我复制过来的是 output [3:0] reg VGA_R; ... 改成 output reg [3:0] VGA_R; ... 就对了......
...全文
4846 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

1,068

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 非技术区
社区管理员
  • 非技术区社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧