求助

qq_44423882 2019-05-17 11:05:28
这个vhdl语句能不能帮我详解一下,多谢       LIBRARY ieee;       USE ieee.std_logic_1164.all;       ENTITY shift IS       PORT(a,cIk:IN STD_LOGIC;       b :OUT STD_LOGIC); END;       ARCHITECTURE gen_ shift OF shift IS       COMPONENT my- dff IS        PORT(d,clk:IN STD_LOGIC;                q        : OuT STD_L0GIC; END COMPONENT ;       SIGNAL z:STD_ LOGIC_VECTOR(O TO 4); BEGIN       z(0)<= a;       g1:FOR i IN O TO 3 GENERATE        u1: my_dff PORT MAP( z(i). clk, z(i+1)); ENO GENERATE;       b<=z(4);  END:
...全文
5 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

6,129

社区成员

发帖
与我相关
我的任务
社区描述
MS-SQL Server 新技术前沿
社区管理员
  • 新技术前沿社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧