有人用过FPGA中ila输出的数据放到matlab中进行频谱分析吗?

李宇哲 2019-05-17 11:20:32
求给一个大致的思路
...全文
552 4 打赏 收藏 转发到动态 举报
写回复
用AI写文章
4 条回复
切换为时间正序
请发表友善的回复…
发表回复
fly 100% 2019-07-17
  • 打赏
  • 举报
回复
只要能保存成matlab能吃的文件类型都好办
李宇哲 2019-07-16
  • 打赏
  • 举报
回复
多谢
Smart_Devil 2019-07-16
  • 打赏
  • 举报
回复
用AD采集波形数据,然后调试,导出ila的数据,用表格存储,删除表格中部分多余的描述内容,然后导入MATLAB,再FFT变换,最后进行计算,得到频谱数据,plot出来就行了,
for_2020 2019-07-07
  • 打赏
  • 举报
回复
vivado中可以把数据保存为有符号位的scv格式数据,然后通过matlab读出来做FFT

2,425

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 其他硬件开发
社区管理员
  • 其他硬件开发社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧