关于矩阵乘法在FPGA的实现

一只爱飞翔的胖子 2019-06-03 10:12:54
如题,楼主小白,想烧个矩阵乘法进FPGA,不知道怎么选板儿,看了好久也不明白。如下图,这个CLB Slices是怎么算出来的啊
...全文
687 3 打赏 收藏 转发到动态 举报
写回复
用AI写文章
3 条回复
切换为时间正序
请发表友善的回复…
发表回复
fly 100% 2019-06-06
  • 打赏
  • 举报
回复
每个乘法器需要的门数,这样选器件
fcwrls 2019-06-04
  • 打赏
  • 举报
回复
你得了解下FPGA各个资源的作用和FPGA实现矩阵的原理,可以啊百度一些资料。
  • 打赏
  • 举报
回复
还是只有ISE能跑出来?

5,315

社区成员

发帖
与我相关
我的任务
社区描述
硬件使用专区,欢迎大家讨论硬件相关内容 宝藏!数字IC精品文章收录(CSDN近500篇) http://t.csdn.cn/QbivO
社区管理员
  • 硬件使用社区
  • 张江打工人
加入社区
  • 近7日
  • 近30日
  • 至今

试试用AI创作助手写篇文章吧