请大家分析分析

别说谎,做一个哑巴 2019-06-17 01:48:21
EDA课设,可控脉冲发生器       实现周期、占空比均可调的脉冲发生器,要求:1、采用1kHz的工作时钟;  2、脉冲周期0.5s~6s,占空比10%~90%; 3、可初始化:周期2.5s,占空比50%
...全文
12 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

34,590

社区成员

发帖
与我相关
我的任务
社区描述
MS-SQL Server相关内容讨论专区
社区管理员
  • 基础类社区
  • 二月十六
  • 卖水果的net
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧