VHDL语言实现24小时时钟下载

weixin_39820780 2019-06-20 05:30:15
在Xilinx的Spartan-3e上调试成功,并下载测试过,里面包含管脚分配,能实现调时,调分,并包含了按键,数码管闪烁,的基本写法,适合VHDL编程的初学者。
相关下载链接://download.csdn.net/download/yuwang111/2620160?utm_source=bbsseo
...全文
23 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

12,694

社区成员

发帖
与我相关
我的任务
社区描述
CSDN 下载资源悬赏专区
其他 技术论坛(原bbs)
社区管理员
  • 下载资源悬赏专区社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧