自动售货机VHDL程序与仿真下载

weixin_39822095 2019-07-28 02:30:18
里面包含有基于VHDL语言编写的自动售货机程序,同时有详细的注释说明,并且附上仿真图,能够实现货物信息存储,进程控制,硬币处理,余额计算,显示等功能,显示的钱数coin以5角为单位。
相关下载链接://download.csdn.net/download/woolin_jenny/3916965?utm_source=bbsseo
...全文
12 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

12,798

社区成员

发帖
与我相关
我的任务
社区描述
CSDN 下载资源悬赏专区
其他 技术论坛(原bbs)
社区管理员
  • 下载资源悬赏专区社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧