FPGA时钟设计

李柯蒙 2020-05-17 06:47:24
想问下设计一个时钟产生模块的思路,依次进位计时。通过键盘上的某些键可以手动设置小时和分钟,时间和键值都会在液晶屏上显示出来
...全文
111 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
7an. 2020-05-20
  • 打赏
  • 举报
回复
用51就可以实现了
xuyaqi029 2020-05-18
  • 打赏
  • 举报
回复
你的这种应用有必要用FPGA吗?

6,127

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧