Quartus9.1错误10500怎么解决

caicai51 2020-05-18 05:09:17
p1:process(reset,set_time)
begin
case set_time is
when "00"=>load<='0';load1<='0';load2<='0';
en_s<='1';en_m<=co_s;en_h<=co_m;
when "01"=>load<='1';load1<='0';load2<='0';
en_s<='0';en_m<='0';en_h<='0';
when "10"=>load<='0';load1<='1';load2<='0';
en_s<='0';en_m<='0';en_h<='0';
when "11"=>load<='0';load1<='0';load2<='1';
en_s<='0';en_m<='0';en_h<='0';
when others=>load<='0';load1<='0';load2<='0';
en_s<='1';en_m<=co_s;en_h<=co_m;
end case;
end process p1;
us:counter60 port map(reset,clk_1s,en_s,load0,set_date,s_out,co_s);
um:counter60 port map(reset,clk_1s,en_m,load1,set_date,m_out,co_m);
uh:counter60 port map(reset,clk_1s,en_h,load0,set_date,h_out,co_h);
请问大家quartus9.1为什么这个程序为什么会出现错误10500,怎么解决呢
...全文
396 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复
1 第一章 绪论....................................................................................................................................1 1.1 概述...................................................................................................................................1 1.2 本书内容安排....................................................................................................................3 1.3 设计步骤............................................................................................................................4 1.4 支持Nios CPU的FPGA型号.............................................................................................5 第二章 SOPC Builder开发环境......................................................................................................8 2.1 创建Quartus II工程...........................................................................................................8 2.2 创建 Nios 系统模块......................................................................................................10 2.2.1 创建新的 .bdf ......................................................................................................10 2.2.2 开始使用SOPC Builder........................................................................................11 2.2.3 系统主频...............................................................................................................12 2.2.4 加入CPU和IP模块...............................................................................................12 2.2.5 指定基地址...........................................................................................................21 2.2.6 配置Nios系统.......................................................................................................22 2.2.7 生成Nios32 并把它加入到设计中......................................................................23 2.2.8 把符号(symbol)加入到BDF文件中...............................................................24 2.2.9 加入引脚和基本单元....................................................

249

社区成员

发帖
与我相关
我的任务
社区描述
其他产品/厂家
社区管理员
  • 其他
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧