verilog语言实现电子琴下载

weixin_39820535 2020-06-17 02:00:21
用verilog语言实现电子琴,输出接蜂鸣器或扬声器,顶层模块调用音调模块、音符模块、分频模块。演奏的乐曲为致爱丽丝(献给爱丽丝)。乐曲的乐谱及其每个音符对应的节拍长度保存在两个txt 文本中
相关下载链接://download.csdn.net/download/qq_27407299/10238223?utm_source=bbsseo
...全文
131 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

12,806

社区成员

发帖
与我相关
我的任务
社区描述
CSDN 下载资源悬赏专区
其他 技术论坛(原bbs)
社区管理员
  • 下载资源悬赏专区社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧