简易频谱分析仪的设计

净小空 2020-09-03 10:19:38
简易频谱分析仪
【摘要】本简易频谱分析仪由模拟高中频部分、显示处理部分和波形控制部分组成。模
拟高中频部分采用了二次混频结构,混频采用模拟乘法器实现,第一级扫频本振和第二
级点频本振均采用 DDS 技术产生,滤波器采用不同 Q 值的晶体滤波器,检波采用经典的
AM 非相干检波。示波器的显示采用 FPGA 控制,DDS 的配置和系统的总体控制采用单片
机完成。系统采用了独特的一键式自动测量,操作简单。系统整体指标好,频率分辨力
达到了 200Hz,能够正确识别调幅、调频和等幅波三种波形及其调制带宽。 【关键词】 频谱分析仪 晶体滤波器 直接数字合成 示波器
【Abstract】:This simple spectrum analyzer is composed of HF/IF circuits, display processing and
waveform controlling. The HF/IF circuits adopt dual conversion architecture. The mixers are implemented
by analog multipliers while the first LO for sweep frequency and the second LO for point frequency are
both generated by DDS. The filters are active crystal filters with different Q value. Detectors are classical
incoherence AM detectors. The displaying on an oscilloscope is controlled by a FPGA, and the
configurations of DDS chips and the controls of the whole system are accomplished by a MCU. The
operation about the spectrum analyzer is very simple because a special automatic mode called one key
measurement is adopted, and the system specifications are so excellent that the frequency resolution is up
to 200Hz and the FM, AM and CW signals and their bandwidths can be recognized automatically.
【Key Words】Spectrum analyzer, Active Crystal Filter, DDS, Oscilloscope
一、总体方案设计
1.总体设计
题目给出了简易频谱分析仪的原理参考框图,如图 1。
2.总体方案的论证与比较
根据外差式频谱分析仪的原理,图 1 中方案实现的关键在于本机振荡器、混频器、
滤波器和检波器等高中频部分。各部分方案如下:
1)本机振荡器方案:图 1 中本机振荡器的输出应为线性的扫频输出,其输出的频率
范围应与输入信号的测试范围一致。产生线性扫频输出的方法有两种:压控振荡器法和
直接数字合成法。压控振荡器(VCO)法采用线性的锯齿电压输入去控制其输出的频率,
其优点是电路简单,缺点是频率控制的精度较差,在频率范围较大时扫频的线性性难以
保证,从而影响到频谱分析仪的频标精度;直接数字合成(DDS)法采用数字方式直接
合成所需的波形,因而其输出频率的分辨力和精度高,宽范围扫频输出的线性好,有许
多现成的 DDS 集成电路可供选择,其缺点是 DDS 的配置和控制时序相对复杂,需要单片
机或可编程器件进行控制。
2)混频器方案:图 1 中的混频器可以利用二极管的非线性实现或采用集成的模拟乘
法器实现。采用二极管实现时因分立元件较多,因此电路较为复杂,调试也相对困难, 而模拟乘法器的电路和调试都相对容易。
3)滤波器方案:图 1 中的滤波器也是整个频谱分析仪实现的关键环节,它决定了频
谱分析仪输出频谱的分辨力和形状。常用的滤波器有 LC 滤波器、陶瓷滤波器和晶体滤
波器等种类。LC 滤波器可以利用计算机辅助软件设计出各种类型和特性要求的滤波器,
但其设计和调试的过程相对复杂,而且其 Q 值很难做高;陶瓷滤波器有许多现成的标准
商品可供选择,Q 值较高,但其频率范围和工作频点较少,插入损耗较大;晶体滤波器
最大的优点是 Q 值很高,既有标准的现成商品可供选择,也可以利用晶体谐振器电路实
现,从而使得其工作频率的选择更加灵活。
4)检波器方案:图 1 中的检波器作用是完成输出调幅信号的解调,采用经典的 AM
非相干检波电路即可。另外,采用高速的数据采集和处理器件,还可以直接对混频、滤
波后的中频信号进行采样和滤波、检波处理,使得系统的设计和调试更加灵活、方便,
系统达到的指标更好。但是该方法涉及的知识较深、算法较多、高速信号采集和处理的
电路复杂,实现较为困难。混频器 放大器 示波器
本机振荡器 扫频发生器
信号处理
电路
显示
电路
输入
X
Y
L x f - f x f Lf 检波器 滤波器 图 1 参考原理框图3
5)显示控制方案:题目要求频谱分析仪的输出借助示波器来显示。示波器的显示除 了需要将解调后的信号输入到其 Y 轴外,还需要同步产生一个线性锯齿电压给 X 轴。频
标则可以利用 Z 轴或其他方式实现。另外,系统还要求能够自动识别不同的调制信号。 为此,系统中还需要一个数据采集和处理系统,以完成对示波器显示的控制和对信号的
处理和识别。
6)总体方案:综上所述,频谱分析仪的总体方案可分为三种: 如图 2(a)、(b)、(c)。 混频器 放大器 示波器 VCO 锯齿电压发生器
识别
处理器
A/D
采样
输入
X
检 Y
波器 滤波器 (a) 方案一
显示控制器 频标产生器
液晶
显示
混频器 放大器
DDS 显示控制
识别处理
A/D
采样
输入
X
Y
检波器 滤波器(b) 方案二
Z
键盘输入
配置控制器
键盘输入 液晶显示
示波器 混频器 放大器
DDS 中频处理
显示控制
A/D
采样
输入 滤波器 (c) 方案三
配置控制器
键盘输入 液晶显示
示波器 图 2 频谱分析仪的实现方案
Z
X
Y
4
上述方案中,方案一采用 VCO 作为本振来实现扫频输出,检波后的信号直接送入到
示波器的 Y 轴。锯齿波发生器输出的锯齿波电压在驱动 VCO 扫频的同时,输入到示波器
的 X 轴进行水平扫描。频谱分析所需的频标由显示控制器产生并输出到示波器的 Z 轴来
实现。这种方案的主要缺点有两个:一是频谱分析的频率范围所对应的扫频电压范围是
变化的,而示波器 X 轴扫描所需的扫描电压范围是固定的,两者难以同步;二是在高分
辨频谱分析时,扫频的速度一般较慢,慢速的频谱信号在一般示波器上不能获得连续的
波形。
针对方案一的缺点,方案二将本振扫频的驱动和示波器 X 轴的扫描分开。本振扫频
采用高精度高分辨率的 DDS 实现。经检波后的信号首先经 A/D 采样后送入显示控制与识
别处理器进行缓存,然后由显示控制经 D/A 快速地同步输出频谱信号和扫描信号至示波
器的 X 轴和 Y 轴,以使示波器显示出稳定清晰的频谱波形。频谱分析所需的频标由显示
控制器同步产生,输入到示波器的 Z 轴实现。
方案三是在方案二的基础上,直接对混频后的中频信号进行采样处理。该方案的优 点是采用数字方法对信号进行二次滤波和检波处理,因此可以通过配置不同阶数和系数 来调整数字滤波器的通带宽度,以自动地适应不同扫频速度和不同频谱分辨力的要求。
7)最终实施方案:综合比较上述三个方案的优缺点,结合题目的要求和我们自身的
技术特点,我们选用方案二作为实施方案。在实施方案中,为了适应不同的扫频速度和
频谱分辨力的要求,结合实际滤波器的选频特性,系统采用了二次混频、滤波的结构,
第二次混频所需的本振也采用 DDS 实现,以灵活地选择本振频率和相应的二中频频率。
两级混频器均采用模拟乘法器实现,检波采用经典的 AM 非相干检波,滤波器选用不同 Q
值的晶体滤波器。另外,为了增大输入信号的动态范围,在输入还增加了一级输入放大 电路,如图 3。
二、理论分析与计算
1.本机振荡器 1 的扫频范围和滤波器 1 的中心频率
题目要求的输入频率测量范围为 1MHz~30MHz,为了防止混频时产生射频泄漏,混频
器的输出滤波器中心频率就必须大于 30MHz。考虑到中频滤波器的实际选频特性,取中 信号调理 电平指示
增益控制
输入信号
DDS 本振 1
中频带通
滤波器 1
DDS 本振 2
单片机、模数转换
示波器显示
控制器
X 轴Y 轴Z 轴
检波
滤波
检波
滤波
中频带通
滤波器 2 图 3 实际系统框图
5 频带通滤波器 1 的中心频率为 34.3MHz。从频谱分辨力的角度看,中频带通滤波器 1 的 通带宽度是越小越好,然而由于其输入为扫频信号,为了保证其输出具有一定的强度, 窄的带宽就要求低的扫频速率。而低的扫频速率在大范围扫频时就需要长的扫频时间,
从而影响仪器的数据输出率。因此我们选择带通滤波器 1 的通带大于 1KHz,在第二级混
频时再采用不同通带宽度的滤波器来满足扫频时间和频率分辨力的不同要求。
中频滤波器中心频率选定后,为了防止混频、滤波后出现镜频现象,本振 1 扫频的
最低频率应大于等于 30MHz。如取扫频的最低频率为 20MHz,则当输入频率为 10MHz、本
振频率为 24.3MHz 和 44.3MHz 时,其混频输出均为 34.3MHz,频谱输出将出现两次,从 而被认为是两个不同的输入频率,就是镜频现象。为此,取本振的扫频范围为
34.3MHz~66.3MHz,此时对应的输入频率测量范围为 0MHz~32MHz,能够满足题目要求的
1MHz~30MHz 的输入频率测量范围。 2.本机振荡器 2 的频率和滤波器 1~2 的通带宽度
题目中基本要求部分要求的频率分辨力为 10KHz,但在发挥部分要求能够识别调制
频率为 1KHz、频偏为 20KHz 的 FM 信号,为此应将频谱分析仪的分辨力设计为 1KHz 以下, 也就是要求二级中频带通滤波器 2 通带宽度小于 1KHz。为了满足扫频时间和频率分辨力
的要求,中频带通滤波器 2 的通带宽度取为 200Hz。同时为了调试方便并且频率稳定度
高,本机振荡器 2 亦采用 DDS 来实现。考虑到 AM 检波器对载波的要求,本振 2 频率选 择就使其输出中频的频率远大于其幅度变化的频率。我们选择滤波器的中心频率为
3MHz,则相应的本振 2 的频率选为 37.3MHz。 3.扫频时间和 A/D 采样速率
由于最终的视频信号提取的是前面窄带滤波器对混频后的信号的响应,我们知道电
子系统的响应速度和其工作的带宽有着密切的联系,带宽越窄,响应速度越慢,为了保
证在各级扫描的时候系统能够充分地响应,进而确保视频信号不会产生失真,扫描的速
度应当有一个上限。在具体实现的过程中,扫频的速度由当前的频谱分辨率下所使用的
窄带滤波器的带宽决定。具体实现过程中可通过多次试验得出不同频谱分辨率下的最佳
扫频速度。 带通滤波器的响应经过检波和低通滤波得到视频信号,由 A/D 采集送入信号处理系
统同时控制示波器显示,A/D 采样速率以能够恢复视频信号为原则,其最小速率应当大 于视频信号最高频率的两倍,最大速率取决于系统响应速度,本次设计中将 A/D 的采样 速率同扫描速率相等,即每扫一个新的点频之前对当前视频信号采样,取得系统对当前
点频的响应,这样在当前点频下系统拥有当前扫频速率下最大的建立时间,只需要改变
扫频速率就能够实现在不同频率分辨率下提取充分的视频信息。实际设定的速率见第三
部分第 5 小节。 4.调幅波、调频波和等幅波的识别原理
如果调制信号为单音余弦波 f (t) = cos(wt) ,则 AM 调幅波表达式为:
v(t) V (1 m cos( t) cos( t) = cm + a W wc 其中ma 为调制指数。Vcm 为载波振幅。
福星电子网 www.fxdzw.com
6 该调幅波在频谱上有三根谱线如图 4 所示:
图 4 调幅波频谱示意图 单音调频信号的频谱相对复杂很多,但其主要特征可以简要描述如下:
设调制信号频率为W ,调制频偏为DF,则信号带宽近似为 BW=2DF,谱线间间隔为调
制信号的频率W,而各个谱线的高度是由贝塞尔函数运算得到。其谱线如图 5 所示:
图 5 单音调频波频谱示意图
等幅波在频谱上为一条竖线。如图 6: 图 6 等幅波频谱示意图
综上所述,等调信号的功率在频谱上最集中,而调频信号的功率在频谱上最分散,调幅
波则介于两者之间。因此,利用三种信号频谱分布特征的不同,就可以在频谱上对三种
信号进行识别。识别的具体步骤为:
第一步:在整个扫频范围内,找出信号频谱的最大值;
第二步:以低于最大值一定比例的值为阈值,求出大于等于阈值的频谱宽度;
第三步:在频谱宽度内求出谱线幅度的平均值; 第四步:用求得的幅度平均值对幅度最大值进行归一化; 第五步:由归一化后的幅度最大值的相对大小来识别等幅、调幅和调频三种信号。
三、主要电路设计
1.输入信号调理及增益控制部分
1) 设计要求及设计过程
由于输入信号有效值在 20mV+5mV 之间,幅度较小,需要进行放大,以便后
面的一系列处理,在这里我们设计了电平指示和预增益调整电路,为满足较大
的动态范围,设计使用AD603做压控增益,由单片机DAC输出直流电压调节AD603
的增益,由于 AD603 带宽为 90MHz,足够满足本次设计要求,输出电压最大为
1Vpp,增益控制范围-11dB~33dB,其控制电压和增益之间满足如下关系:
( ) = 40 +10 VG Gain dB (1)
然而由于本题要求输入电压有效值较小,故需要进行较大的增益,为了不使 AD603 工作在较为极限的状态(会导致较大谐波失真),我们考虑使用一级固定
增益的预放大,同时由该放大器完成输入阻抗的匹配(50Ω),该运放我们选择
了市场上较易买到的 OPA2652,该运算放大器为 BB 公司推出的用于宽带缓冲 或者线路驱动器的高速电压反馈型双运放,增益为 1 时带宽为 700MHz,本次
设计中将其作为输入预放大级,工作在增益为 4 的同相输入状态下,其带宽为
45~50MHz,并且输出电阻 60mΩ,有很强的驱动能力。
输入信号经过该 4 倍增益的预放大之后达到 200~300mVpp,送入 AD603 经
由单片机DAC控制AD603的增益,AD603之后利用预放大级的双运放OPA2652
中的另外一个运放再作 2 倍增益后完成隔离输出。并在输出端接有电平表进行
电平指示。至此,输入信号可以根据电平表指示非常方便的调整到混频级的合 理输入范围。 然而,实际制作中发现,上述设计存在很多弊病,首先,使用同一片 OPA2652
内的两个运放分别担任小信号预放大和大信号缓冲输出,本身就不是较安全的
选择,由于片内双运放之间不可避免的产生交调失真,缓冲级运放工作在 2Vpp
输出电压下,而输入级运放工作在 56mVpp,极易受到缓冲级的干扰,此干扰很
快被 AD603 接收并放大,同时出现相位变化,以大信号的形式出现在输出级的
运放上面,当某个固定频率满足了一定的相位条件后,出现可怕的自激反馈, 表现为正常信号上面叠加一个固定频率的分量,甚至当 AD603 增益大过一定值 之后,出现严重自激振荡,输出端波形完全失真,出现了一个频率为 73MHz、
幅度为运放输出最大幅度的振荡信号,这是我们预先没有想到的。
发现问题后,我们马上进行调整,输入级只使用一片 OPA2652 中的一个运 放,并且按照其数据手册推荐的连接方式在同相输入端加入 500Ω 对地电阻,调 整反馈网络对输入信号进行 4 倍增益,同时,片内的另外一个运放闲置不用,
以防止交调失真。再加入第二片 OPA2652,接受经 AD603 放大之后的信号,其
中一个运放接成电压跟随器驱动片内的另外一个运放,同时将信号经同轴线送 至一级混频器。片内的另外一个运放接受电压跟随器的输出并进一步放大 2 倍, 送入一个平均值检波器,检波器的输出经低通滤波之后由一个改装的模拟表头
进行显示。可用作输入信号增益后电平的实时显示,帮助调节输入增益,保证
频谱测量精度。
8 电路改进之后,自激振荡的高频分量已基本消除,下图为改进前和改进后的
输出信号 FFT 对比见图 7: 图 7 输入放大电路改进对比 图中信号的 2,3 次谐波是输入信号本身就有的失真。
系统统调时,接入电平表头之后发现指针经常在正常值附近以固定的低频率
摆动(小于 5Hz),并且对人体靠近较为敏感,考虑可能为工频干扰导致放大器
输出含有较大的 50Hz 分量,与表头的机械固有频率谐振后导致指针摆动,故考 虑在信号输入端加入交流耦合,同时利用交流耦合和 50Ω 输入电阻形成一阶高 通,截至频点设定为千赫兹级别,可有效消除工频干扰。我们首先对该电路形 式进行了简单仿真,结果如图 8,实际验证基本符合仿真结果。 图 8 输入级交流耦合仿真
9
2)原理图(图 9)
图 9 输入放大级原理图 2.DDS 扫频及二本振的产生
1)设计要求及设计过程
本设计要求第一次混频的本振频率为扫频输入,并且该扫频范围及速率可精
确控制,扫频过程中输出幅度要求均等,为此,我们设计使用 DDS 原理完成这 项功能。
直接数字频率合成(DDS)的常用实现方案有:通过 CPLD/FPGA 配合 DAC
实现和通过专用 DDS 芯片实现。本文次设计采用了后一种方案,因为专用 DDS
芯片集成了众多数字部件,这种高度的集成化避免了因 PCB 布线造成的信号干 扰对系统性能的影响,提高了输出信噪比,大大降低了功耗,简化了硬件连接, 增加了系统的运行速度和可靠性,同时也大大缩短了开发周期。
本次设计选取的第一级本振的频率范围为 34.3~66.3MHz,为此我们选用了
AD 公司的专用 DDS 芯片 AD9954。AD9954 片上集成了采样率高达 400MSPS
的 14 位 DAC,可以产生高达 200MHz 的正弦波,利用其数字可编程功能通过 串行 I/O 口向芯片写入频率变化和控制字可灵活的控制其频率和相位。AD9954
内部还集成了一个 1024×32bit 的静态 RAM,用以支持灵活的跳频、跳相应用,
同时还支持用户定义的线性扫频操作。芯片还包含了一个高速片上比较器,可 用于需要输出方波的场合。时钟方面,AD9954 内部集成了振荡电路,支持单晶
体驱动和外部时钟驱动两种模式,内部还带锁相环可以将外部时钟倍频 4~20
倍提作为系统时钟,系统时钟最高可达 400MHz。数字接口可支持通用 5V 标准。
并且具有多芯片同步功能。图 10 为其内部原理框图:
10
图 10 AD9954 内部框图
现将其工作原理简述如下:DDS 核中最基本的部件包括一个相位累加器和
余弦 ROM 表和一个 DAC。相位累加器累加得到的值作为余弦相位即 ROM 地 址送入余弦 ROM 表,余弦表的输出送 DAC 输出成模拟信号。通过改变相位累 加器的累加步长就可以改变输出信号的周期;将相位累加器输出的相位加上一 个相位偏移量就能够灵活的控制输出信号的相位差;将查表的结果乘以一个幅 度控制字再送 DAC 输出就可以方便的控制输出信号的幅度。改变 ROM 表中存 储的数据就可以输出各种自定义波形。查表的频率等于系统时钟频率,因此输 出的信号只要通过一个固定截止频率的低通滤波器就能够滤掉采样时钟频率。
由奈奎斯特采样定律可以知道 DDS 输出信号的最高频率为系统时钟频率的一
半。本次设计我们使用 100MHz 有源晶体振荡器为 AD9954 提供时钟,在片内
配置为 4 倍频工作,因此 DDS 实际采样时钟为 400MHz。本设计方案所需的最
高频率 66.3MHz,采用 400MHz 时钟的 AD9954 完全能够满足要求。
本次设计要求扫频过程中相位连续,否则会出现其他频谱分量,导致测量出 现错误,而 AD9954 扫频方式是相位连续的,适合本方案应用。本系统共使用 两片 AD9954,一片用于输出第一次混频所需的扫频本振,另一片用于第二次混
频所需的固定频率本振信号的产生,配置采用串行接口由单片机控制。AD9954
模拟量输出为差分电流输出,同样使用前述的 OPA2652 作为 I-V 转换及输出放 大。另外 DDS 的输出信号中会含有 400MHz 的采样时钟频率,本来需要一级滤
波器将其滤除,在这里我们直接利用运放在 2 倍放大的时候 200MHz 的带宽特 性将采样时钟滤除。 AD9954 输出频率的稳定度取决于其外部时钟的特性,本次设计使用了±
30ppm 的有源晶体振荡器,片内四倍频之后该摆动被放大 4 倍,达到+120ppm, 因此系统采样时钟的精确度为 10-4~10-3 ,对实际的频谱测量产生的影响不大。
2)原理图(见附录一)
11
3.模拟乘法器混频部分
1) 设计要求及设计过程
本系统设计使用模拟乘法器作为基本的混频电路,因采用二次混频结构一共 需要两组模拟乘法器电路。
根据外差式频谱仪的结构要求,一次混频级要求带宽大于 66.3MHz,并且
由于后面跟有滤波器(含插入损耗),混频输出要求有一定的驱动能力和电压增 益。据此,我们选择了 ADI 公司的 AD834 作为模拟乘法器 IC,其需要输入差分 电压不大于 2Vpp,开集电极差分电流满幅输出+4mA,带宽 DC~500MHz,是目
前性能较好的模拟乘法器芯片,非常适合本次设计。 由于本次设计要求的频率范围较宽,为了保证较好的线性度和调试时的快速 准确,在 AD834 的差分电流输出级我们使用了基于高速运算放大器 OPA2652
的 I-V 转换电路,保证了足够的增益带宽积。AD834 的输入级阻抗匹配和隔离
输出级也使用了 OPA2652。
第二级混频功能结构与第一级混频相同,而且带宽指标较第一级更低,为了 降低系统设计时间,我们直接复制了第一级混频的乘法器电路,同样使用 AD834
和 OPA2652 配合完成。
2)原理图(见附录二)
4.带通滤波器的设计
1)设计要求及设计过程
根据系统的总体设计,中频带通滤波器 1 的输出在宽扫描时直接用于频谱提 取,在细扫描时用于二次变频时对镜像频率的抑制。其带宽应当小于二次中频,
同时为了防止在宽扫描时因扫描太快、滤波器太窄而造成频谱信息的丢失,其
带宽不应当太小。二级中频带通滤波器 2 的输出是作为细扫频时频谱提取,其
带宽直接决定了最终的分辨率,因此其通带宽度越窄越好。
由于需测量的信号频谱较宽,而模拟频谱仪的关键部件——带通滤波器本身 的性质(Q 值)决定了其中心频率和带宽之比,当 Q 值一定时中心频率越高,
则带宽也越大。常用的高频窄带滤波器有 LC 滤波器、陶瓷滤波器和晶体滤波器,
陶瓷滤波器的Q值一般为15~40,而晶体滤波器的Q值一般能够达到1000~2000, 另外利用有源器件和晶体谐振器构成的有源窄带滤波器,其 Q 值能够做
...全文
17930 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
qq_52102891 2021-08-04
  • 打赏
  • 举报
回复

图呢?

aippc 2020-09-23
  • 打赏
  • 举报
回复
学习使人进步

27,375

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 单片机/工控
社区管理员
  • 单片机/工控社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧