请用VHDL语言设计一个60进位的计数器,解决后再给200分,决不食言!

ceocio 2003-12-16 11:26:56
请用VHDL语言设计一个60进位的计数器,解决后再给200分,决不食言!
...全文
222 5 打赏 收藏 转发到动态 举报
写回复
用AI写文章
5 条回复
切换为时间正序
请发表友善的回复…
发表回复
ceocio 2003-12-21
  • 打赏
  • 举报
回复
感谢各位,我对硬件一窍不通,谢谢!
分已给了250,后会有期!
.Net/ASP/IIS/WEB/OS版见
ppcust 2003-12-20
  • 打赏
  • 举报
回复
好多vhdl 的参考书上都有的
看看就行了
justfrom 2003-12-18
  • 打赏
  • 举报
回复
use maxplus2, compile pass and simulation right

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity cnt60 is
port (
reset, en, clk : in std_logic;
ca : out std_logic;
q : out std_logic_vector (7 downto 0)
);
end cnt60;

architecture rtl of cnt60 is
signal ca_tmp_s: std_logic;
begin
process (clk, reset)
variable q60: integer;
begin
if (reset='1') then
q60 := 0;
ca_tmp_s <= '0';
elsif (clk'event and clk='1') then
if (en='1') then
if (q60=59) then
q60 := 0;
ca_tmp_s <= '1';
else
q60 := q60 + 1;
ca_tmp_s <= '0';
end if;
end if;
end if;
q <= conv_std_logic_vector (q60, 8);
end process;
process (ca_tmp_s, en)
begin
ca <= ca_tmp_s and en;
end process;
end rtl;
ceocio 2003-12-16
  • 打赏
  • 举报
回复
我对VHDL一窍不通,还望各位费心,谢谢。
slam1847 2003-12-16
  • 打赏
  • 举报
回复
有一段时间不写vhdl了,具体的记不清楚了。写这个的时候首先要考虑一件事情:你是要拿它来做时钟显示还是只是要求记够60的时候有一个进位,如果是只要一个进位标志,那就只要写一个循环就成了,如果要显示,那就要写成6*10进制,那就要先写一个10进制的,让他的进位再进行六进制计数。

6,125

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 硬件设计
社区管理员
  • 硬件设计社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧