VIVADO设置使用Notepad++编程以及verilog语法检查

打羽毛球的李小帅 2021-09-04 17:41:09

一、Vivado设置使用Notepad++

1.打开Vivado 2018.2

2.点击菜单栏中的“Tools”,在下拉菜单中选择“Settings…”;在弹出的窗口中左边的菜单栏点击“Text editor”,在第一行的“Current Editor”复选框中选择“Current Editor”(注意不是选择Notepad++)

 

3.在Editor栏中填写notepad++的安装路径 + 空格 + [file name]
注意:
(1)安装路径中的斜杠是双左斜杠,如果直接复制需要将右斜杠改为左斜杠,
(2)一定要加空格

4.点击"OK",即可完成配置

二、Notepad++设置verilog语法检查

1.Notepad++安装NppExec插件,点击菜单栏“插件”,选择“插件管理”

2.选择“可用”,勾选“NppExec”,点击“安装”

3.在安装NppExec完成后,点击“插件”--“NppExec”--“Execute NppExec Script”或者按F6新建命令脚本,输入如下脚本命令

cmd /k cd "$(CURRENT_DIRECTORY)" &D:\Xilinx\Vivado\2018.2\bin\xvlog.bat "$(FULL_CURRENT_PATH)" & ECHO. & EXIT(直接复制,注意不要删掉空格,xvlog.bat前的路径为vivado安装路径),保存。

4.配置错误提示跳转,该功能支持双击Error提示跳转到错误行。
在notepad++中选择 插件->NppExec->Console Output Filters->HightLight添加对应的正则表达式

输入*ERROR: [*[%ABSFILE%:%LINE%](可直接复制),点击OK完成设置。

配置完成后即可调用VIVADO语法检测工具进行verilog语法检测。


5.建议勾选:插件---NppExec---No internal messages,编译窗口的信息更简洁。
6.建议勾选:插件---NppExec---Save all files on execute,按F6编译时,插件会先自动保存再编译。

7.使用方法,按F6,点击OK便可进行Verilog语法检查。

 

 

 

 

 

 

 

 

 

 

...全文
3341 回复 打赏 收藏 转发到动态 举报
写回复
用AI写文章
回复
切换为时间正序
请发表友善的回复…
发表回复

9,030

社区成员

发帖
与我相关
我的任务
社区描述
CSDN全站用户和CSDN产品经理、运营人员集中交流讨论CSDN各产品问题的通道,欢迎大家提供建设性的建议,让CSDN变得更好。也请大家不要在这里发布招聘、技术问题等无关CSDN产品的内容,谢谢。
其他 其他
社区管理员
  • 社区公告社区
  • CSDN产品汪
  • CSDN官方博客
加入社区
  • 近7日
  • 近30日
  • 至今

试试用AI创作助手写篇文章吧