社区
C#
帖子详情
帮忙看看,这是什么原因
csxtu
2004-08-10 09:54:42
试图运行项目时出错:无法在Web服务器上启动调试。您不具备调试此应用程序的权限。此项目的URL位于internet区域。
...全文
89
9
打赏
收藏
帮忙看看,这是什么原因
试图运行项目时出错:无法在Web服务器上启动调试。您不具备调试此应用程序的权限。此项目的URL位于internet区域。
复制链接
扫一扫
分享
转发到动态
举报
写回复
配置赞助广告
用AI写文章
9 条
回复
切换为时间正序
请发表友善的回复…
发表回复
打赏红包
wnlovezxm
2004-08-10
打赏
举报
回复
控制面板——》管理工具——》本地安全策略——》本地策略——》用户权利指派:调试程序——》添加
另:记得在iis中建立该项目
csxtu
2004-08-10
打赏
举报
回复
虽然说没有权限,但它还是生成了dll,可以在vs.net里浏览。在IE里敲URL也可以看到。
真的是怪哉!
mars131
2004-08-10
打赏
举报
回复
你的源文件所在的文件夹的权限可能不够
csxtu
2004-08-10
打赏
举报
回复
我就是在本机安装.net 本机登陆windowsXP,地球人都用得那种。
reg_iis 我启动asp.net了。iis 我配置了,ip就是本机的ip没有在不同网段。
Knight94
2004-08-10
打赏
举报
回复
相关的文档,看看这个
http://support.microsoft.com/default.aspx?scid=kb;en-us;315158
Knight94
2004-08-10
打赏
举报
回复
你是域登陆吗?
如果是的话,你的服务器并不一定有Aspnet这个用户。
csxtu
2004-08-10
打赏
举报
回复
都不是啊。
suosuoyyy
2004-08-10
打赏
举报
回复
检查一下你的Web项目在IIS中有没有被创建成应用程序
CMIC
2004-08-10
打赏
举报
回复
iis服务器与本机不在同一网段。
WPF经典编程实例/C#/.NET/MVVM/WCF/XAML/WinForm
如果你学习了这门课程,要么再回味一下这门课程“曾经赵老师讲过,这样可以实现”,再
看看
视频,再
看看
代码,也许再也不留遗憾。如果你是WPF的开发人员、或者是WPF的爱好者,学习这门课,将为你节省大量自我探索的...
帮忙
看看
这段程序啊
帮忙
看看
这段程序啊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity san isport(clk:in std_logic; sanut std_logic_vector(7 downto 0));end;architec
C语言调用函数一次就自动结束了 不执行下面的程序
帮忙
看看
把
我自己定义了一个打印print函数 ,在主程序中如果把这个print函数放在其他函数前执行了 程序直接就运行结束了 不执行在他后面的程序 。有人能
看看
这是什么
原因
吗?
麻烦
帮忙
看下这个dmp错误的
原因
This dump file has an exception of interest stored in it. The stored exception information can be accessed via .ecxr. (7e8.59c): Access violation - code c0000005 (first/second chance not available) ...
(windows) 开机时出现“checking file system on C” 问题
原因
及解决方法
某天办公室有位i同事让
帮忙
看了下电脑,发现的报错。。 这是windows7中常见的问题,下面介绍的就是它的问题
原因
及解决方法。 问题
原因
及解决方法 也许开机时看见一团不知道什么意思的英文,大脑一定是懵逼的,想...
C#
110,555
社区成员
642,563
社区内容
发帖
与我相关
我的任务
C#
.NET技术 C#
复制链接
扫一扫
分享
社区描述
.NET技术 C#
社区管理员
加入社区
获取链接或二维码
近7日
近30日
至今
加载中
查看更多榜单
社区公告
让您成为最强悍的C#开发者
试试用AI创作助手写篇文章吧
+ 用AI写文章