社区
应用实例
帖子详情
高手帮忙来看一下
ruoxuwu
2004-08-24 11:26:07
各位大虾,我把一个服务器的数据库导入到本地服务器上,为什么原来数据库里的试图现在都跑到用户表里去了呢?怎么做才能让本地的数据库跟远程服务器上的数据库一抹一样呢?
...全文
63
3
打赏
收藏
高手帮忙来看一下
各位大虾,我把一个服务器的数据库导入到本地服务器上,为什么原来数据库里的试图现在都跑到用户表里去了呢?怎么做才能让本地的数据库跟远程服务器上的数据库一抹一样呢?
复制链接
扫一扫
分享
转发到动态
举报
写回复
配置赞助广告
用AI写文章
3 条
回复
切换为时间正序
请发表友善的回复…
发表回复
打赏红包
ruoxuwu
2004-08-25
打赏
举报
回复
不行啊,还是一样的毛病
WangZWang
2004-08-25
打赏
举报
回复
简单方法
把它备份出来在本机恢复。
ruoxuwu
2004-08-25
打赏
举报
回复
晕倒,是不是问题太菜啦?知道的点拨一下阿,不胜感激
智子实战:看Sophon KG如何追寻新冠病毒轨迹
本次分享,我们一起
来看
Sophon KG如何追寻新冠病毒轨迹,运用AI技术、工具建立相关知识图谱,通过确诊案例的亲属、同事和朋友的关系网找出密切接触者进行及时隔离,同时刻画出确诊案例的活动轨迹,找到其关系网之外...
求
高手
们来
帮忙
看看
号,每次开机都提示“欢迎使用找到的新硬件向导”,网上下过很多这个文件,安装了没用,后来用驱动精灵更新,结果每次装到一半黑屏,求
高手
们指点一二,谢谢了! 每次从列表选择安装后会弹出“安装程序无法复制...
mysql 只读文件系统_有请
高手
帮忙
解答:root不能创建目录, 只读文件系统
杂谈有请
高手
帮忙
解答:root不能创建目录, 只读文件系统linux是RED HAT AS4完全安装,ssh连接上以后,root登录(用的是“SSH Secure ShellClient”)我曾经做过以下操作,请各位大侠
帮忙
判断系统到底出了什么问题,...
void func(int n)C语言,C语言
高手
帮忙
分析
一下
复杂的函数声明
同样,在
来看
指针和函数的声明,这里不考虑指针的初始化问题。int * p;int foo1(void);int *foo2(void);int (*foo3)(void);*p求值结果的类型为int型,因此p的类型就是指向int型变量的指针。foo...
lpm算法c语言实现,用vhdl语言使用lpm来搭建一个补码乘法器,编译错误,
高手
帮忙
...
用vhdl语言使用lpm来搭建一个补码乘法器,编译错误,
高手
帮忙
0--mul.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_signed.all;...
应用实例
27,579
社区成员
68,559
社区内容
发帖
与我相关
我的任务
应用实例
MS-SQL Server 应用实例
复制链接
扫一扫
分享
社区描述
MS-SQL Server 应用实例
社区管理员
加入社区
获取链接或二维码
近7日
近30日
至今
加载中
查看更多榜单
社区公告
暂无公告
试试用AI创作助手写篇文章吧
+ 用AI写文章