uvm_hdl_force如何使用?

sepathy 2019-07-17 10:58:19
我尝试用uvm_hdl_force来force DUT中的信号。但是,编译报错 UVM_ERROR: set: unable to write to hdl path (harness.xxxx.xxxx)
You may not have sufficient PLI/ACC capabilities enabled for that path.

我使用的是VCS编译的。请问,这个错误是什么原因导致的?
...全文
5127 1 打赏 收藏 转发到动态 举报
写回复
用AI写文章
1 条回复
切换为时间正序
请发表友善的回复…
发表回复
Frank丶Qian 2019-11-01
  • 打赏
  • 举报
回复

加个编译选项 -debug

5,177

社区成员

发帖
与我相关
我的任务
社区描述
软件工程/管理 质量管理/软件测试
功能测试压力测试安全性测试 个人社区 湖南省·长沙市
社区管理员
  • 软件测试
  • 虫无涯
  • 小博测试成长之路
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告

欢迎大家加入到软件测试的社区,在这里,希望大家勇于发表自己的看法,欢迎大家分享自己在软件测试工作过程中遇到的问题以及工作经验分享。

1.想转行的小伙伴,遇到问题没有及时回复的,可以私聊小博进行反馈

2.大家对社区有好的建议,都可以在社区发帖进行反馈

推荐大家学习的软件测试入门笔记:软件测试入门学习笔记

试试用AI创作助手写篇文章吧