关于VHDL语言中的强未知的'X'的仿真波形

几许。 2020-06-18 04:19:26
自己编写了一个R-S触发器的代码,然后进行行为仿真,最后发现R=0,S=0的时候,应该q,qb应该是禁用,我给的信号是'X',但是最后它显示的一直是高电平。自己还在初学阶段,对'X'还没理解,当信号应该输出'X是,波形图是应该高电平还是低电平或者是不确定随机的。后面附上了自己的代码和仿真图,感谢各位大佬的解答。

仿真图:


代码:

library ieee;
use ieee.std_logic_1164.all;

entity r_s is
port(r,s,cp: in std_logic;
q,qb: out std_logic);
end r_s;

architecture behav of r_s is
signal rs : std_logic_vector(1 downto 0);
signal q_s,qb_s: std_logic;
begin
rs<=s&r;
process(rs,cp)
begin
if cp'event and cp='1' then
if rs="11" then q_s<=q_s;qb_s<=qb_s;
elsif rs="01" then q_s<=not q_s;qb_s<='1';
elsif rs="10" then q_s<=not q_s;qb_s<='0';
elsif rs="00" then q_s<=not q_s;qb_s<='X';
end if;
end if;
end process;
q<=q_s;qb<=qb_s;
end behav;


感谢大佬,hhh~
...全文
813 2 打赏 收藏 转发到动态 举报
写回复
用AI写文章
2 条回复
切换为时间正序
请发表友善的回复…
发表回复
几许。 2020-06-20
  • 打赏
  • 举报
回复
引用 1 楼 Hwa_Zz 的回复:
x就是不确定状态...你这个是软件的问题吧
x是不确定状态,就是说他的高低电平是随机的还是说在某一特定值会变成某种电平还是说仿真时一直是高电平或者低电平
许闪闪丶 2020-06-19
  • 打赏
  • 举报
回复
x就是不确定状态...你这个是软件的问题吧

19,502

社区成员

发帖
与我相关
我的任务
社区描述
硬件/嵌入开发 嵌入开发(WinCE)
社区管理员
  • 嵌入开发(WinCE)社区
加入社区
  • 近7日
  • 近30日
  • 至今
社区公告
暂无公告

试试用AI创作助手写篇文章吧